您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 一种基于FPGA的正弦波信号发生器的设计.pdf

  2. 摘 要:现代测试领域中,经常需要信号发生器提供多种多样的的测试信号去检验实际电路中存在的设计问题。传统的信号发生器多采用模拟电路搭建。以正弦波信号发生器为例 ,结合 DD S直接数字合成技术 ,基于 FPGA 设计其他外围电路构成正弦波信号发生器。相比传统的模拟信号发生器 ,该电路具有设计简单 ,升级容易,波形稳定等特点。
  3. 所属分类:硬件开发

    • 发布日期:2010-10-23
    • 文件大小:594944
    • 提供者:feixiangdemeng3
  1. 一种基于FPGA的正弦波信号发生器的设计

  2. 一种基于FPGA的正弦波信号发生器的设计
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:214016
    • 提供者:abab8780000
  1. 一种基于DDS 的函数发生器

  2. 函数发生器是电子工程师、生产线以及教学科研 的重要测试设备,可以生成不同频率和幅度的大量信 号,用来评估新电路的运行情况,可代替时钟信号,对 新产品进行制造测试,其广泛应用于通信、雷达、导航、 宇航等领域。 函数发生器设计的核心之一是波形信号的产生。 目前常使用的有多功能专用的DDS 集成芯片和采用 高性能的FPGA 器件直接设计所需要的DDS 电 路。专用的DDS 集成芯片由于内部固化了正弦波 查找表不能生成三角波,而传统获得三角波的方法是 将方波通过积分器获得,这种方法的主要缺陷在
  3. 所属分类:嵌入式

    • 发布日期:2011-04-19
    • 文件大小:499712
    • 提供者:duoxuan
  1. 基于FPGA的DDS信号发生器设计报告

  2. 本文介绍了一种基于FPGA的DDS信号发生器的具体设计,可产生正弦波,三角波,方波以及自定义波
  3. 所属分类:硬件开发

    • 发布日期:2011-08-23
    • 文件大小:304128
    • 提供者:islandhjn
  1. 基于FPGA 的任意信号发生器

  2. :目前我们使用的信号发生器主要由集成式DDS 芯片或FPGA 加高速D/A 的方案来实 现。集成式DDS 芯片使用不灵活,而对于FPGA 加高速D/A 的设计方案,由于D/A 芯片的 价格过高导致信号发生器的成本增加。本文提出了一种基于DDS 思想,利用PWM 原理实 现任意信号发生器的解决方案,将正弦波输入比较器产生矩形波,解决了实验用信号发生器 由于使用高速D/A 芯片导致成本增加的问题。同时研制出了原理样机,证明了本方案的可 行性。 关键词: FPGA;DDS 思想;PWM 信号;任意信
  3. 所属分类:硬件开发

    • 发布日期:2012-12-11
    • 文件大小:453632
    • 提供者:noodles5320
  1. 基于FPGA的DDS信号发生器

  2.    本文介绍了一种基于FPGA的DDS基本信号发生器的设计方法, 应用VHDL语言编程及QuartusII软件进行编译和波形仿真,用VHDL语言对DDS进行供能描述,方便在不同的实现方式下移植和修改参数,QuartusII软件提供了方便的编译和综合平台,大大缩短了DDS的设计和开发周期。DDS模型由相位累加器、波形存储器ROM查找表(LUT)、D/A 转换器(DAC)以及低通滤波器(LPF)构成。本设计基于DDS 原理和FPGA 技术按照顺序存储方式,把正弦波、三角波、方波、锯齿波四种波形的
  3. 所属分类:硬件开发

    • 发布日期:2013-08-23
    • 文件大小:965632
    • 提供者:u011794628
  1. 基于FPGA的任意波形发生器设计与研究

  2.  任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:370688
    • 提供者:weixin_38702515
  1. EDA/PLD中的基于FPGA的任意波形发生器设计与研究

  2. 任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化, 如: 产生调频、调幅、调相和脉冲调制等。更可以通过计算机软件实现波形的编辑, 从而生成用户所需要的各种任意波形。任意波形发生器的实现方案主要有程序控制输出、DMA 输出、可变时钟计数器寻址和直接数字频率合成( DDS) 等多种方式 。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, D
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:302080
    • 提供者:weixin_38576561
  1. 基于FPGA的DDS电路板检测仪信号发生器设计

  2. 直接数字频率合成(Direct Digital Synthesize,DDS)是从相位概念出发直接合成所需波形的一种频率合成技术。它是继直接频率合成和间接频率合成之后发展起来的第三代频率合成技术,突破了前两代频率合成法的原理,从“相位”的概念出发进行频率合成,这种方法不仅可以产生不同频率的正弦波、方波、三角波,而且可以控制波形的初始相位,还可以用此方法产生任意波形,目前得到了广泛的应用。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:460800
    • 提供者:weixin_38728277
  1. 一种基于FPGA的正弦波信号发生器的设计

  2. 摘要:现代测试领城中,经常需要信号发生器提供多种多样的的测试信号去检验实际电路中存在的设计问题。传统的信号发生器多采用模拟电路搭建。以正弦波信号发生器为例,结合DDS直接数字合成技术,基于FPGA设计其他外围
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:273408
    • 提供者:weixin_38744435
  1. EDA/PLD中的基于FPGA的可调信号发生器

  2. 摘要:基于FPGA的应用技术,采用Altera公司DE2-70开发板的CycloneⅡ系列EP2C70作为核心器件,设计了一种基于FPGA的新型可调信号发生器。通过QuartusⅡ软件及Vetilog HDL编程语言设计LPM_ROM模块定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能,该系统可产生正弦波、方波、三角波和锯齿波4种波形信号,并使用嵌入式逻辑分析仪对产生的不同波形信号进行实时测试,实验证明,该可调信号发生器系统软件模拟数据和理论定制
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:387072
    • 提供者:weixin_38543293
  1. 电子测量中的基于DDS的电路板检测仪信号源设计

  2. 0 引言   某型导弹测试设备电路板检测仪主要完成该测试设备的电路板的故障检测。该检测系统要求激励信号产生电路体积小,配置灵活,且精度高、转换速度快。基于FPGA的DDS信号发生器较传统信号发生器能够更好地满足检测仪要求。   直接数字频率合成(Direct Digital Synthesize,DDS)是从相位概念出发直接合成所需波形的一种频率合成技术。它是继直接频率合成和间接频率合成之后发展起来的第三代频率合成技术,突破了前两代频率合成法的原理,从“相位”的概念出发进行频率合成,这种方法
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:384000
    • 提供者:weixin_38655780
  1. 单片机与DSP中的基于FPGA的DDS任意波形发生器

  2. 目前利用专门DDS芯片开发的信号源比较多,它们输出频率高、波形好、功能也较多,但它们的ROM里一般都只存有一种波形(正弦波),加上一些外围电路也能产生少数几种波形,但速度受到很大的限制,因此使用不是很灵活。为了增加灵活性,可以采用FPGA实现DDS技术,把DDS中的ROM改用SRAM,SRAM作为一个波形抽样数据的公共存储器,只要改变存储波形信息的数据,就可以灵活地实现任意波形发生器。  该系统主要由DDS系统、数模转换及输出信号调理等部分组成,由单片机控制,外加键盘及显示等人-机接口部分。DD
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:92160
    • 提供者:weixin_38625708
  1. EDA/PLD中的基于FPGA 的三相正弦DDS 的设计与实现

  2. 摘要:利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的三相正弦信号发生器。正弦调制波的产生采用查表法,仅将1/4周期的正弦波数据存入ROM中,减少了系统的硬件开销。经过仿真和电路测试,输出波形完全达到了技术要求,证明了设计的正确性和可行性。   1. 引言   直接数字频率合成器(DDS)技术,是根据相位的概念出发直接合成所需的波形的一种 新的频率合成原理,是一种把一系列数字形式的信号通过DAC转换成模拟形式信号合成技术。 具有频率切换速度快,
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:199680
    • 提供者:weixin_38530846
  1. 基于FPGA的多路正弦波信号发生器专用芯片设计

  2. 目前,正弦波信号发生器技术正逐渐成熟,各种直接数字频率合成器(DDS)集成电路如AD9850等已得到广泛应用;FPGA方面也已经有相关的DDS设计。但DDS专用芯片还很少见。本文介绍了一种工作频率为25 MHz、可进行异步串行通信、频率相位可调的3路正弦波信号发生器专用芯片的设计方法。   本设计采用OR1200处理器作为主控制器,通过Wishbone总线将3个DDS模块、UART控制器模块、片内RAM模块连接到系统中,构建出一个硬件平台;然后对OR1200进行软件编程,使UART控制器接收专
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:172032
    • 提供者:weixin_38601215
  1. 基于DDS的电路板检测仪信号源设计

  2. 0 引言   某型导弹测试设备电路板检测仪主要完成该测试设备的电路板的故障检测。该检测系统要求激励信号产生电路体积小,配置灵活,且精度高、转换速度快。基于FPGA的DDS信号发生器较传统信号发生器能够更好地满足检测仪要求。   直接数字频率合成(Direct Digital Synthesize,DDS)是从相位概念出发直接合成所需波形的一种频率合成技术。它是继直接频率合成和间接频率合成之后发展起来的第三代频率合成技术,突破了前两代频率合成法的原理,从“相位”的概念出发进行频率合成,这种方法
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:548864
    • 提供者:weixin_38647822
  1. 基于FPGA 的三相正弦DDS 的设计与实现

  2. 摘要:利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的三相正弦信号发生器。正弦调制波的产生采用查表法,仅将1/4周期的正弦波数据存入ROM中,减少了系统的硬件开销。经过仿真和电路测试,输出波形完全达到了技术要求,证明了设计的正确性和可行性。   1. 引言   直接数字频率合成器(DDS)技术,是根据相位的概念出发直接合成所需的波形的一种 新的频率合成原理,是一种把一系列数字形式的信号通过DAC转换成模拟形式信号合成技术。 具有频率切换速度快,
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:253952
    • 提供者:weixin_38743084
  1. 基于FPGA的可调信号发生器

  2. 摘要:基于FPGA的应用技术,采用Altera公司DE2-70开发板的CycloneⅡ系列EP2C70作为器件,设计了一种基于FPGA的新型可调信号发生器。通过QuartusⅡ软件及Vetilog HDL编程语言设计LPM_ROM模块定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能,该系统可产生正弦波、方波、三角波和锯齿波4种波形信号,并使用嵌入式逻辑分析仪对产生的不同波形信号进行实时测试,实验证明,该可调信号发生器系统软件模拟数据和理论定制波形
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:564224
    • 提供者:weixin_38701407
  1. 基于FPGA的任意波形发生器设计与实现

  2. 摘要:本文提出了一种基于可编程逻辑器件(FPGA)芯片EP2C20F484的任意波形发生器的设计方法。完成了在FPGA的控制下,USB接口控制模块、SRAM控制模块、DA转换模块等协同工作的硬件设计、固件设计以及软件设计,并给出了实验结果。实验结果表明,此任意波形发生器能够按照要求输出相应波形,达到了设计要求。   0 引言   在腐蚀领域和电镀行业,常常需要使用任意波形的电流电压信号进行生产和测试。任意波形是指频率可变、幅值可变、相位可变的正弦波形和其他波形,如三角波形、锯齿波、特殊波形等
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:312320
    • 提供者:weixin_38713167
  1. 基于FPGA的任意波形发生器设计与研究

  2. 任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化, 如: 产生调频、调幅、调相和脉冲调制等。更可以通过计算机软件实现波形的编辑, 从而生成用户所需要的各种任意波形。任意波形发生器的实现方案主要有程序控制输出、DMA 输出、可变时钟计数器寻址和直接数字频率合成( DDS) 等多种方式 。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, D
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:371712
    • 提供者:weixin_38709100
« 12 »