您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 一种基于FPGA的B超数字波束形成技术

  2. 论述了一种运行在FPGA芯片上应用于B超的全数字波束形成技术。采用孔径变迹、幅度加权变迹和动态变迹相结合的综合变迹技术和动态聚焦技术,两种技术均形成直观的数学模型,在FPGA上的实现方法类似,先将数学模型数字化,然后计算出数据表存入ROM,运行时将ROM中提取的数据与输入数据进行运算,即可得到预期的输出数据。在Matlab仿真和样机测试中达到了很好的抑制旁瓣和动态聚焦效果,提高了波束形成的精度。
  3. 所属分类:其它

    • 发布日期:2020-08-19
    • 文件大小:61440
    • 提供者:weixin_38595606