您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 【原创】ProE全套通用快捷键,不妨一看

  2. 本套ProE映射键布局针对情况为:主要用零件、组装,少量钣金、工程图,常用基本建模、拔模、测量、剖面,由此出发,参阅多份前人的映射键布局设置,经若干次修改而成。文件包括一份布局表格,一份代码(两百余映射键,三千行代码) 布局上特点及考虑要点为: 1. 考虑方便使用,按键主要功能分布在键盘左区,少量常用键为单键,大多为双键,少量为多键。 2. 相近功能布置在相同键或相近区域,如A-装配,S-实体特征、D-剪裁特征、F-曲面特征,W-钣金,E-编辑,Q-快速操作,X-剖面,数字-视图,等等。 3.
  3. 所属分类:专业指导

    • 发布日期:2010-04-25
    • 文件大小:1048576
    • 提供者:wangye618
  1. FPGA中的空间辐射效应及加固技术

  2. 介绍空间辐射环境对造成的各种辐射效应及所需要采取的加固措施。不同类型 的中的辐射机理及加固措施有所不同。在基于反熔丝型中, 其辐射效应主要是介质的绝缘击穿, 加固措施主要是增加反熔丝厚度, 采用三模冗余等技术。在基于型中的辐射效应会造成配置失效, 加固措施主要是采用监测电路, 当配置发生错误时, 通过重新配置来恢复系统。
  3. 所属分类:其它

    • 发布日期:2011-10-13
    • 文件大小:274432
    • 提供者:yimikeer
  1. 面向个人移动平台的室内三维模型数据重构研究

  2. 针对室 内 三 维 导 航的 需 求 及个人移 动 平台 资 源 、 计算 能 力 有 限 之 间 的 矛 盾 , 在 顾 及 三 维 模 型 渲 染 质 量 和 效 率 的基础 上, 从模型轻 量 化 的 角 度 , 采 用 数 据库 技术 , 构 建了 针对三 维 实 体模型 数 据 的 SLMATV 数 据 组 织 和 管 理 方 案 ( 场 景-模型层-模型-外 观-三 角 形-顶 点 ) , 该 方 案 通过合理 的 数 据重 构 和 数 据 优 化 , 部 分采 用 以 空 间 换
  3. 所属分类:其它

    • 发布日期:2015-04-26
    • 文件大小:1048576
    • 提供者:u012062187
  1. 一种基于VxWorks新型映像的三模冗余启动机制研究

  2. 针对VxWorks启动映像依赖于ROM地址,不能适应航天型号中三模存储要求的缺点,利用VxWorks自带的压缩算法,按照航天型号软件任务要求设计了一种基于VxWorks内存型映像的新型压缩映像,实现了软件映像与ROM区地址无关。并且实现了新型压缩映像的三模冗余引导启动,启动时每一个bit数据都从三份映像获取,并进行三取二判断,将最后结果拷贝到内存作为目的映像的bit数据,目的映像启动后能够回写正确数据自动纠正空间环境下可能发生的单粒子翻转错误,为软件的可靠启动建立了基础
  3. 所属分类:C

    • 发布日期:2017-09-07
    • 文件大小:155648
    • 提供者:zouyulong2010
  1. 西门子(SIEMENS)冗余PA总线使用入门.pdf

  2. 西门子(SIEMENS)冗余PA总线使用入门pdf,西门子(SIEMENS)冗余PA总线使用入门:本文主要介绍了西门子冗余PA总线的如何使用及入门。Excellence in Automation Drives Siemens SIEMENS 冗余总线使用入门 从PCS7V7.0开始, SIEMENS支持冗余的 PROFIBUS PA总线,基本的设备需要DPPA连接器和 DP/PA耦合器。 DP/PA连接器包含一个或两个IM153-2接口模块,以及通过无源总线耦合器或总线模块 相互连接的一到五个
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. 基于FPGA的TMR电路跨时钟域同步技术

  2. 三模冗余(TMR)电路中的跨时钟域信号可能会受到来自信号偏差和空间单粒子效应(SEE)的组合影响。通过建立数学模型,对这两个问题进行分析和量化。最后针对长脉宽和短脉宽源信号的不同情况,提出了相应的解决方案。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:342016
    • 提供者:weixin_38700779
  1. 基于FPGA的三模冗余容错技术研究

  2. 摘要:基于SRAM的FPGA对于空间粒子辐射非常敏感,很容易产生软故障,所以对基于FPGA的电子系统采取容错措施以防止此类故障的出现是非常重要的。三模冗余(TMR)方法以其实现的简单性和效果的可靠性而被广泛用于对单粒子
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:208896
    • 提供者:weixin_38559569
  1. Xilinx FPGA抗辐射设计技术研究

  2. 针对Xilinx FPGA在航天应用中的可行性,文章分析了Xilinx FPGA的结构以及空间辐射效应对FPGA的影响,结合实际工程实践给出了提高其可靠性的一有用办法和注意事项,如冗余设计、同步设计、自检等。表明配置信息的周期刷新和三模冗余设计是减轻单粒子效应的有效方法。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:182272
    • 提供者:weixin_38500090
  1. 基于FPGA的TMR方法改进策略

  2. 基于FPGA的TMR方法改进策略,基于SRAM的FPGA对于空间粒子辐射非常敏感,很容易产生软故障,所以对基于FPGA的电子系统采取容错措施以防止此类故障的出现是非常重要的。三模冗余(TMR)方法以其实现的简单性和效果的可靠性而被广泛用于对单粒子翻转(
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:216064
    • 提供者:weixin_38638004
  1. 三模冗余技术在ASIC设计中的应用及实现

  2. 航天器在空间中飞行时,一直处在带电粒子构成的辐射环境中。在这种辐射环境中微处理器可能会因为单粒子扰动而中断正常功能从而导致灾难性事故。这主要涉及到2方面的问题,辐射总剂量效应和单粒子效应的问题,单粒子效应又分为单粒子翻转(SEU)和单粒子闭锁(SEL)2个方面。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:137216
    • 提供者:weixin_38530211
  1. 激光告警DSP加载系统的可靠性设计

  2. 基于SRAM的DSP芯片对空间粒子辐射敏感, 针对相干型衍射光栅激光告警系统处于空间环境中时所产生空间粒子效应会影响激光信号的判别甚至使程序无法正常工作的问题,提出在软硬件方面对元件进行抗单粒子翻转设计。通过看门狗电路、三模冗余程序加固和差错检验校正的方法防止故障出现。该方法提高了系统运行的可靠性,保证程序正确执行。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:455680
    • 提供者:weixin_38704284
  1. 计算机系统的容错技术方法

  2. 随着计算机技术的发展,计算机系统的可靠性越来越受到人们的重视,而容错技术是提高可靠性的一种有效方法。本文研究了计算机容错技术的各种方法,如硬件容错、信息容错、软件容错等,介绍了TMR(三模冗余)的原理及其缺点,详细研究了两种最基本的软件容错技术NVP和RB。这些容错技术可有效提高计算机系统的可靠性。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:106496
    • 提供者:weixin_38612139
  1. 计算机系统的容错技术方法

  2. 摘要: 随着计算机技术的发展,计算机系统的可靠性越来越受到人们的重视,而容错技术是提高可靠性的一种有效方法。本文研究了计算机容错技术的各种方法,如硬件容错、信息容错、软件容错等,介绍了TMR(三模冗余)的原理及其缺点,详细研究了两种最基本的软件容错技术NVP和RB。这些容错技术可有效提高计算机系统的可靠性。   计算机的应用十分广泛,航空航天、军事、银行监管系统、交通运输系统以及其他重要的工业领域对计算机的可靠性要求非常高。计算机系统出现故障不仅会导致国家财产的严重损失,还会危及人身安全。因此
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:115712
    • 提供者:weixin_38678796
  1. 单片机与DSP中的基于SoC的实时信号处理系统中存储系统

  2. 在现代信息社会中,嵌入式系统由于其灵活性及方便性得到了越来越广泛的使用。采用SoC技术可以将整个系统集成到单个芯片之中,其具有体积小、重量轻、功耗小、IP复用等优点。SoC技术目前正成为嵌入式实时系统发展的一个趋势,得到越来越广泛的应用。   系统的纠错和容错能力具有十分重要的意义。这里的容错是指当部分存储器件损坏无法工作时,系统可以有效地利用冗余器件,通过硬件检测或软件指令配置,使存储系统能够继续正常工作。纠错是指当读写数据中出现一位或多位数据出错时,系统自动计算出正确数据的机制,通常情况下
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:184320
    • 提供者:weixin_38564990
  1. 片上可重构阵列容错方法研究

  2. 设计了一种具有容错能力的可重构阵列,它以2D-Mesh型片上网络(Network-on-Chip,No C)路由器作为互连单元,以精简指令集的处理器为计算单元,这种结构适用于数字信号处理等应用领域。对于阵列互连结构中的瞬态故障,采用三模冗余、扩展海明码和检错重传的方法对其进行容错,使用连线内建自测试(build-in self-test)与自修复及自适应路由的方法对互连结构中的永久故障进行容错。对于计算单元失效的情况,模仿生物体胚胎细胞的分化机制,通过调整相关配置信息实现计算任务的重新分配,从而
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:481280
    • 提供者:weixin_38520192
  1. 面向门级网表的VLSI三模冗余加固设计

  2. 面向门级网表的VLSI三模冗余加固设计
  3. 所属分类:其它

    • 发布日期:2021-03-10
    • 文件大小:698368
    • 提供者:weixin_38637580
  1. 基于余数校验的FFT容错方案

  2. 具有星上数字处理能力的卫星通信系统因受空间辐射环境影响,容易发生单粒子翻转而严重影响系统可靠性。传统三模冗余方案开销巨大,因此,研发低开销、高可靠性的容错方法已经成为星上数字处理技术的重要方向。本文针对常用的FFT模块,提出了一种基于余数校验的单采样判决容错方法。传统基于余数校验的FFT方案因存在大量蝶形运算,而需将旋转因子扩大一定倍数以保证计算精确,导致复杂度大大增加。本文在FFT的中间一级将数据进行截断,并在截断前增加单采样判决模块确保正确的数据输出,同时针对上述过程中增加的取模操作提出了一
  3. 所属分类:其它

    • 发布日期:2021-03-07
    • 文件大小:715776
    • 提供者:weixin_38658471
  1. 一种基于Flash型FPGA的高可靠系统设计

  2. 摘要:本文以星载测控系统为背景,提出了一种基于 Actel Flash FPGA的高可靠设计方案。采用不易发生单粒子翻转的 Flash FPGA芯片,结合 FPGA内部的改进型三模冗余、分区设计和降级重构,实现了高实时、高可靠的系统。   2 引言   在复杂的空间环境中存在各种高能粒子和宇宙射线,星载系统的电子器件容易发生单粒子翻转等错误,造成整个系统的崩溃。对于星载系统而言,可靠性是非常重要的一项性能指标。在系统研制时,必须保证系统在局部出现故障时屏蔽和容忍错误,把错误造成的损失降到昀低
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:266240
    • 提供者:weixin_38517728
  1. 基于空间矢量调制的非隔离型V2G系统共模电流抑制

  2. 从系统高频共模等效模型入手,分析确定产生共模电流的激励源;改进传统抑制共模电压空间矢量调制(SVM)算法,优化三相三电平车网互动(V2G)开关状态转换次序,形成五段式去冗余SVM算法,有效抑制共模电压,但三相三电平V2G中点电位不平衡会对共模电压产生影响,限制了共模电流抑制效果。提出了从控制算法和拓扑改进2条途径分别实现中点电位平衡的控制方法。采用控制算法途径时,引入虚拟空间矢量调制(NTV2),剔除输出共模电压幅值较大的冗余小矢量,保证了共模电流抑制效果;重新定义虚拟小矢量和虚拟中矢量,在新型
  3. 所属分类:其它

    • 发布日期:2021-01-13
    • 文件大小:1048576
    • 提供者:weixin_38718415
  1. 一种星载嵌入式软件容错启动系统设计

  2. 为提高空间复杂电离环境下星载嵌入式系统的可靠性,提出一种容错启动系统设计方法。该启动方法利用SPARC V8架构处理器(AT697)的异常处理陷阱机制,将EDAC和三模冗余两种方法结合起来,确保星载嵌入式系统在空间复杂环境下实现容错启动和运行。该系统将BOOT(引导)区域和主程序区域备份三份存储于EEPROM中。在启动阶段,利用AT697的EDAC功能对BOOT区域进行检查和纠错,当遇一位翻转时直接纠正;当遇到多位翻转时记录出错地址并进入特定陷阱,在陷阱通过三取二仅对翻转位进行纠正,不必对整个代
  3. 所属分类:其它

    • 发布日期:2021-01-13
    • 文件大小:1048576
    • 提供者:weixin_38677260
« 12 3 »