您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog实现并串转换模块

  2. 模块功能:按照设计要求把输入的4位平行数据转换为协议要求的串行数据流 *** 由scl和sda配合输出 *** 本模块为RTL可综合模块,已通过综合后门级网表仿真
  3. 所属分类:嵌入式

  1. 微机原理及接口技术/汇编实验 将十六进制数据串转换成ASCII码实验报告 word

  2. 学校的实验报告,在DOS下输入汇编程序,将十六进制数据串转换成ASCII码,有详细步骤
  3. 所属分类:硬件开发

    • 发布日期:2010-04-13
    • 文件大小:152576
    • 提供者:huanhuan0811
  1. vhdl实现串并转换和并串转换 包含代码

  2. 使用了vhdl语言实现了数据的串并转换,以及并串转换,包含代码,代码易懂,适合初学者学习。
  3. 所属分类:专业指导

    • 发布日期:2010-04-19
    • 文件大小:2048
    • 提供者:ou14096
  1. 并串转换Verilog HDL

  2. 用Verilog HDL实现1024位数据并行输入,32位数据串行输入,并带有其测试的源代码,经验证可以很好的实验并串转换功能
  3. 所属分类:系统安全

    • 发布日期:2011-10-19
    • 文件大小:21504
    • 提供者:xubaoling1316
  1. 字串转换(源码)

  2. 将原始字串转换为unicode,格式为\u....\u.... 将Unicode字串\u....\u....格式字串转换为原始字符串。
  3. 所属分类:C#

    • 发布日期:2011-11-01
    • 文件大小:39936
    • 提供者:yxljch11
  1. 串并-并串转换

  2. 用VHDL描述的串并、并串转换 经过啦仿真验证,可以成功的实现转换
  3. 所属分类:硬件开发

    • 发布日期:2011-12-19
    • 文件大小:206848
    • 提供者:guangdianlym
  1. json串转换 列子 以及jar

  2. json串转换 列子 以及jar
  3. 所属分类:Java

    • 发布日期:2013-05-07
    • 文件大小:2097152
    • 提供者:liuyang183
  1. 串并 并串转换

  2. 用VHDL实现串并转换 并串转换。用modelism仿真验证程序可以使用。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-10
    • 文件大小:2048
    • 提供者:tyoung1020
  1. 字母明文串转换为纯大写字母的加密串输出

  2. 编写一个程序,将一个包含大小写字母的纯字母明文串转换为纯大写字母的加密串输出。
  3. 所属分类:C/C++

    • 发布日期:2013-06-18
    • 文件大小:45056
    • 提供者:u011119508
  1. 串并转换与并串转换的Verilog实现

  2. quartus环境下工程,自己写的sipo和piso两个模块,用verilog分别实现串并转换和并串转换,通俗易懂
  3. 所属分类:硬件开发

    • 发布日期:2014-10-09
    • 文件大小:339968
    • 提供者:reggae25
  1. 基于FPGA的高速串并_并串转换器设计

  2. 在数字通信系统的数据传输中 , 多数通信数据为串行方式, 而大多数处理器要求数据以并行方式存储和处理,所以经常需要将串行传输的数据变换成并行传输, 或者将并行传输的数据变换成串行传输, 这时就需要串并/并串转换器。 在此介绍了串并/并串转换器基本原理, 并通过 Quartus Ⅱ 仿真平台进行仿真验证, 最后下载到 FPGA 芯片 EP1K30QC208⁃2实现了串并/并串转换器的设计, 仿真及实验结果表明采用此设计方案是可行的
  3. 所属分类:硬件开发

    • 发布日期:2015-04-19
    • 文件大小:1048576
    • 提供者:jianzizijian
  1. 坐标串转换成shp

  2. 该工具是基于FME开发的txt格式坐标串转换成shp,希望对大家有用。 一般格式的坐标串都能转换。
  3. 所属分类:其它

    • 发布日期:2015-09-09
    • 文件大小:10240
    • 提供者:enshiliujie
  1. 74hc595驱动 并串转换模块 状态机

  2. 可以驱动两篇hc595级联的并串转换模块。用来数码管显示。并且支持逗号单独控制。使用VHDL并采用状态机编写。有说明。易懂。
  3. 所属分类:嵌入式

    • 发布日期:2018-03-07
    • 文件大小:4096
    • 提供者:weixin_41776235
  1. 十六进制字串转换

  2. 对字符或字符串进行十六进制转换。用于对数据接口的调试和验证。
  3. 所属分类:硬件开发

    • 发布日期:2018-03-20
    • 文件大小:204800
    • 提供者:qq_41868874
  1. 将Ascii码串转换成字符串

  2. 支持将任意长Ascii码串转换成字符串,用法示例参见里面的帮助文档,注意命令行输入格式不要写错,严格按照示例来
  3. 所属分类:Python

    • 发布日期:2018-07-16
    • 文件大小:1024
    • 提供者:zfl1991926
  1. 串并-并串转换器电路图

  2. 大学数字电路课程设计 串并-并串转换器的设计
  3. 所属分类:专业指导

    • 发布日期:2013-04-08
    • 文件大小:31744
    • 提供者:blue_yonder
  1. C#实现将32位MD5摘要串转换为128位二进制字符串的方法

  2. 主要介绍了C#实现将32位MD5摘要串转换为128位二进制字符串的方法,涉及C#字符串遍历、加密与转换相关操作技巧,需要的朋友可以参考下
  3. 所属分类:其它

    • 发布日期:2020-08-30
    • 文件大小:39936
    • 提供者:weixin_38592332
  1. Python二进制串转换为通用字符串的方法

  2. 今天小编就为大家分享一篇Python二进制串转换为通用字符串的方法,具有很好的参考价值,希望对大家有所帮助。一起跟随小编过来看看吧
  3. 所属分类:其它

    • 发布日期:2020-09-20
    • 文件大小:51200
    • 提供者:weixin_38673924
  1. 基于FPGA的并串转换电路硬件实现

  2. 并串转换电路在通信接口中具有广泛的应用,可编程逻辑阵列由于具备灵活、可重构等特点非常适应于并串转换硬件电路的实现。为了解决硬件电路结构中资源与性能的矛盾,分析比较了移位寄存器、计数器与组合逻辑条件判定三种不同的并串转换硬件电路结构,并通过设计仿真对其进行了功能验证和性能评估。实验结果表明采用移位寄存器的实现方法具有最优的速度性能,采用计数器的实现方法具有最优的性价比,采用组合逻辑条件判定的实现方法具有最少的寄存器资源消耗,可根据实际应用需求合理选择并串转换硬件电路实现方式。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:407552
    • 提供者:weixin_38686267
  1. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器

  2. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器,能把27位(18位视频数据和9位控制数据)并行数据转换成串行数据流,预加重改善了输出的眼图和信号完整性,有所有权的数据编码降低了EMI和提供了DC平衡,LVDS输出是内部100欧姆端子,ESD保护是ISO 10605,接触放电+/-10KV,空气放电+/-30KV,核电压3.3V,输入电压能和1.8V-3.3V逻辑电平接口,基准时钟误差+/-2%,48引脚TQFP和TQFN封装,工作温度-40度到85度C, 并串转换器可用在汽车
  3. 所属分类:其它

    • 发布日期:2020-12-02
    • 文件大小:31744
    • 提供者:weixin_38724229
« 12 3 4 5 6 7 8 9 10 ... 50 »