您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 关于EDA课程设计中 的乒乓球游戏机的设计

  2. 关于EDA课程设计中 的乒乓球游戏机的设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-06
    • 文件大小:26624
    • 提供者:cckchina
  1. 用VHDL设计一个乒乓球游戏机

  2. 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。
  3. 所属分类:专业指导

    • 发布日期:2009-07-06
    • 文件大小:14336
    • 提供者:wyq88746
  1. 乒乓球游戏机(VHDL)

  2. 本游戏电路可供二人游戏。 ◆左、右双方各持一按键作为“球拍”,实验板上一行16只发光二极管为乒乓球台,其中那只发光的发光二极管代表乒乓球所在位置。 ◆左、右双方均可发球。发球时,球应在球台发球方的端点保持不动,“挥拍”时球才可逐步左移或右移,若“击球”键恰好在“球”到达对方端点时按下,则发出短促的击球声,“球”即向相反方向移动,若按键偏早或偏晚,击球无效,无击球声发出,球将继续向前运行直至出界,(即亮点消失)。记分板上给胜球者加1分。 ◆球速度分4级或2级。 比赛规则可自定,胜负应有指示。
  3. 所属分类:专业指导

    • 发布日期:2009-12-15
    • 文件大小:281600
    • 提供者:perfectlily1
  1. 基于VHDL的 乒乓球游戏机设计

  2. 两人乒乓球游戏机是用9个发光二极管代表乒乓球台,用点亮的发光二极管按一 定的方向移动来表示球的运动。在游戏机的两侧各设置一个开关,即击球开关Hit A,HitB。甲乙二人按乒乓球比赛规则来操作开关。当甲按动击球开关时,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分。然后重新发球,比赛继续。比赛直到一方分数达到11分时,比赛结束。
  3. 所属分类:专业指导

  1. 基于FPGA乒乓球游戏机Verilog设计

  2. 基于FPGA乒乓球游戏机Verilog设计
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:443392
    • 提供者:abab8780000
  1. 数电实验报告,乒乓球游戏机设计

  2. 数字电路实验报告,数电,乒乓球游戏机设计,有代码和软件截图,流程图分析。
  3. 所属分类:专业指导

    • 发布日期:2011-05-20
    • 文件大小:1048576
    • 提供者:willdj0326
  1. VHDL乒乓游戏机比赛程序

  2. 基于VHDL Qurtus-2软件开发板的乒乓球游戏机,可实现。
  3. 所属分类:游戏开发

    • 发布日期:2011-11-11
    • 文件大小:389120
    • 提供者:huawuque89
  1. 乒乓球比赛模拟机设计

  2. 通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。
  3. 所属分类:电信

    • 发布日期:2011-12-20
    • 文件大小:155648
    • 提供者:jhmk_
  1. 基于VHDL的乒乓球游戏机设计

  2. 采用vhdl语言编写的一个小的乒乓球游戏,趣味性较高,为eda课程设计的题目。
  3. 所属分类:专业指导

    • 发布日期:2012-09-18
    • 文件大小:286720
    • 提供者:kxxhw
  1. 乒乓球游戏机的设计

  2. 乒乓球游戏机是模拟乒乓球比赛的过程和规则,并能自动裁判和记分的模拟装置。两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧个设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满21分为1局,然后记分清零,重新开始新一局比赛。
  3. 所属分类:专业指导

    • 发布日期:2008-07-27
    • 文件大小:283648
    • 提供者:a409615221
  1. 基于ARM的乒乓球游戏机代码

  2. 这个乒乓球游戏机实在ARM9上开发出的,容易操作,好玩并且锻炼按键的操控能力。
  3. 所属分类:C

    • 发布日期:2013-07-16
    • 文件大小:465920
    • 提供者:oujuan900708
  1. EDA课程设计 沈阳理工大学 乒乓球游戏机

  2. EDA完整课程设计 沈阳理工大学 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和几分。要求: (1)甲乙双方各在不同的位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮及依次点燃的方向决定,球移动的速度为0.1-0.5秒移动一位。 (3)11分为一局,甲乙双方都应设置各自的几分牌,任何一方先记满11分,该方胜出。当记分牌清零后,重新开始。
  3. 所属分类:专业指导

    • 发布日期:2014-07-16
    • 文件大小:250880
    • 提供者:courage1993
  1. 乒乓球游戏机Verilog设计

  2. 乒乓球游戏机Verilog设计,亲测可以使用
  3. 所属分类:嵌入式

    • 发布日期:2014-08-25
    • 文件大小:1048576
    • 提供者:u011331330
  1. 乒乓球设计VHDL 真的很好

  2. 设计一个能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分的乒乓球游戏机。要求:比赛时甲乙双方各在不同的位置发球或击球;根据球的位置发出相应的动作,提前击球或出界均判失分;乒乓球的位置和移动方向有灯亮及依次点亮的方向决定,球移动的速度为0.1~0.5s移动一位;甲乙双方设置各自的记分牌,任何一方先记满21分该方就算胜了此局;当记分牌清零后,又可开始新的一局比赛。
  3. 所属分类:专业指导

  1. 乒乓球游戏机Verilog设计

  2. 乒乓球游戏机Verilog设计,亲测可以使用 乒乓球游戏机Verilog设计,亲测可以使用 乒乓球游
  3. 所属分类:嵌入式

  1. 数电课设乒乓球游戏机.zip

  2. 1.1题目:乒乓球游戏机 1.2要求: 1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2)一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一 个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为 犯规,给对方加1分;都犯规,各自加1分; 3)甲、乙各有一数码管计分; 4)裁判有一个按钮,是系统初始化,每次得分后, 按下一次。 仿真软件是PROTUES
  3. 所属分类:硬件开发

    • 发布日期:2020-01-01
    • 文件大小:190464
    • 提供者:qq_42226130
  1. 乒乓球游戏机设计报告.doc

  2. 本文件是大学课程数电的课程设计——《乒乓球游戏机》Proteus 8 Professional的仿真电路的设计报,其中有对控制电路的详细介绍。 仿真电路文件地址https://download.csdn.net/download/qq_44915724/12529580 B站视频框架讲解https://www.bilibili.com/video/BV1kp4y1D7Zz/
  3. 所属分类:教育

    • 发布日期:2020-06-19
    • 文件大小:205824
    • 提供者:qq_44915724
  1. 乒乓球游戏机.pdsprj

  2. 大学数电的课程设计——《乒乓球游戏机》Proteus 8 Professional的仿真电路,B站视频框架讲解https://www.bilibili.com/video/BV1kp4y1D7Zz/
  3. 所属分类:教育

    • 发布日期:2020-06-17
    • 文件大小:23552
    • 提供者:qq_44915724
  1. 基于PFGA的乒乓球游戏机

  2. FPGA可以很方便的产生视频信号。 乒乓球游戏由一个在屏幕上反复弹跳的小球和用来挡住小球使之反弹的挡板。在这个设计中,挡板的位置由用户通过鼠标来控制。 利于VHDL语言实现硬件电路的设计
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:34816
    • 提供者:wangyan6432
  1. 基于fpga的乒乓球游戏机.zip

  2. 基于fpga的乒乓球游戏机的设计完整代码,包括时钟分频模块,数码管显示模块,和发球击球计分模块,胜局统计和发球权判决模块的设计文件,自己写的绝对可用
  3. 所属分类:其它

    • 发布日期:2020-08-27
    • 文件大小:3072
    • 提供者:weixin_42638401
« 12 3 »