您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74系列芯片资料 74564 TTL 八位三态反相输出D触发器

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-05-02
    • 文件大小:122880
    • 提供者:codychang
  1. 74系列IC带管脚图

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-07-26
    • 文件大小:703488
    • 提供者:yan131423yong
  1. 74系列芯片名称及解释

  2. 74系列芯片名称及解释 型号 内容 ---------------------------------------------------- 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动 器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09
  3. 所属分类:嵌入式

    • 发布日期:2009-07-27
    • 文件大小:11264
    • 提供者:txwlltt
  1. 一位二进制全减器(低频电子线路)

  2. 一位二进制全减器(低频电子线路) 大家一起 学习
  3. 所属分类:专业指导

    • 发布日期:2009-10-13
    • 文件大小:733
    • 提供者:wfy6390231
  1. 一位二进制全减器设计

  2. 一位二进制全减器设计 源代码 ARCHITECTURE dataflow OF full_adder IS signal op:std_logic; BEGIN process begin op<=not y;end if; s <= x XOR op AFTER tpd; sum <= s XOR c_in AFTER tpd; c_out <= (x AND op) OR( s AND c_in) AFTER 2* tpd; END dataflow; 5
  3. 所属分类:C

    • 发布日期:2009-10-14
    • 文件大小:344064
    • 提供者:cai758328543
  1. eda 实验报告 南昌大学

  2. 实验一 1位二进制全减器设计 ;实验二 模可变计数器设计;实验三 序列信号发生器与检测器设计;实验四 数字钟设计
  3. 所属分类:专业指导

    • 发布日期:2010-01-07
    • 文件大小:4194304
    • 提供者:jj123x
  1. 74LS系列集成块功能介绍

  2. 74LS系列集成块功能介绍 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门 (oc
  3. 所属分类:其它

    • 发布日期:2010-03-29
    • 文件大小:14680064
    • 提供者:xue041480
  1. 1位二进制全加/减器设计(实验报告)

  2. 1位二进制全加器的设计(采用原理图输入) 用原理图输入法设计一个1位二进制半加器;再用两个半加器和一个或门组成一位二进制全加器
  3. 所属分类:专业指导

    • 发布日期:2011-09-16
    • 文件大小:716800
    • 提供者:huakaiba
  1. 数字元器件74系列各种器件简介

  2. 常用74系列功能介绍: 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K
  3. 所属分类:教育

    • 发布日期:2012-10-07
    • 文件大小:30408704
    • 提供者:zzsok
  1. VHDL实验代码示例

  2. 四输入表决器 2位二进制相乘电路 一位二进制全减器
  3. 所属分类:硬件开发

    • 发布日期:2012-11-22
    • 文件大小:319488
    • 提供者:shiroudeniu
  1. 《EDA电路设计》课程结课论文备选题目

  2. 想 用程序输入方法设计一个带有异步复位和同步加载功能的十进制加法计数器。 2. 用程序输入方法设计一个16位二进制加法计数器。 3. 用原理图输入方法设计一个1位2进制全加器。 4. 用程序输入方法设计一个2选1多路选择器。 5. 用程序输入方法设计一个4选1多路选择器。 6. 用程序输入方法进行交通灯控制器设计。 7. 设计一个含有异步清零和计数使能的16位二进制加减可控计数器。 8. 用原理图输入方法设计一个2位10进制频率计。 9. 用程序输入方法设计一个8位2进制全加器。
  3. 所属分类:数据库

    • 发布日期:2012-12-04
    • 文件大小:27648
    • 提供者:feng019738
  1. 北邮大二下数电VHDL实验报告

  2. 1.用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 2.用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 3.用3线-8线译码器(74LS138)和逻辑门设计实现函数F,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 4.用VHDL语言设计实现一个3位二进制数值比较器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信
  3. 所属分类:硬件开发

    • 发布日期:2013-10-19
    • 文件大小:567296
    • 提供者:u011370608
  1. ewb multisim 仿真实例电路图全集

  2. 多年收集的ewb和multisim电子电路仿真实例文件,压缩后有50多兆。 文件列表 ├─仿真实验 │ 555.ms10 │ Circuit1.ms10 │ Circuit2.ms10 │ CLOCK.ms10 │ FileList.txt │ 实验2.ms10 │ 实验3-一阶有源低通滤电路.ms10 │ 实验3-减法运算电路.ms10 │ 实验3-反相加法运算电路.ms10 │ 实验3-反相比例运算电路.ms10 │ 实验3-反相积分运算电路.ms10 │ 实验3-微分运算电路.ms10
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:55574528
    • 提供者:freedom366
  1. Ewb5.12电子电路仿真软件中文版含200实例及中文教程

  2. Ewb5.12电子电路仿真软件中文版含200实例及中文教程 文件 列表 │ 100进制递减计数器.ewb │ 14计数器子电路.ewb │ 16计算器.ewb │ 24或12进制加法计数.ewb │ 24或12进制加法计数子电路.ewb │ 2d限幅.ewb │ 2m振荡电路.ewb │ 4位加法器.ewb │ 50hz陷波器.ewb │ 555-1多谐振荡器.ewb │ 555fm电路.ewb │ 555单稳态电路.ewb │ 555多谐振荡电路.ewb │ 555定时报警器.ewb │ 5
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:9437184
    • 提供者:freedom366
  1. vhdl 四输入表决器 二位二进制乘法器 一位二进制全减器等源代码及仿真波形

  2. vhdl硬件设计语言 四输入表决器电路 二位二进制乘法器电路 一位二进制全减器等源代码及仿真波形 MAX plus II 仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2008-11-19
    • 文件大小:280576
    • 提供者:stellvie
  1. 一位二进制全加/减器设计

  2. library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b: in std_logic; co,so:out std_logic); end component; componen
  3. 所属分类:C

    • 发布日期:2008-12-07
    • 文件大小:253952
    • 提供者:array258852258
  1. 计算机三级知识点(全)(1).pdf

  2. 计算机三级等级考试网络技术,主要讲相关计算网络技术的相关知识,知识非常全面,内容很棒,适用于复习等等一个列题你就可以看懂 244的二进制 224 112 0000 每次除二取余从下往上排序 224转换二进制就是11100000 56 28 14 731 (我的找巧,剧半分,型到诗载先减一,何就为m,动为1) 不招信你减一减是不是更快 下面是常见的进制转换,记住可以提高做题速度 二进制 十进制 10000000 128 11000000 192 11100000 224 11110000 240
  3. 所属分类:网络基础

    • 发布日期:2019-10-07
    • 文件大小:3145728
    • 提供者:weixin_44189531
  1. 富士电机多功能型变频器FRENIC-MEGALite系列样本.pdf

  2. 富士电机多功能型变频器FRENIC-MEGALite系列样本pdf,本资料是关于富士电机高性能多功能型变频器FRENIC-MEGALite系列样本,更多详细内容请点击下载!FRENID MEGA LiiE ■广泛的用途 简单操作维护保养支持的提高 防止物品滑落的功能 具有利于速度指令工作的功能 搭载UsB接口实现Pc加载器简易信息管理!(选配件)型号:TPE1U 在上下撇运等过程中,提高了制动信号 将脉冲列翰入功能作为标准配置 提高现场作业性能 ●在生产现场,从操作面板上的US日接口可直接向计算
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743602
  1. mulitisim全减器电路

  2. 基于multisim设计的一个“全减器电路”(输入为两个1位二进制数及来自于低位的进位,输出本位差和向高位的借位。)
  3. 所属分类:硬件开发

    • 发布日期:2020-07-13
    • 文件大小:195584
    • 提供者:weixin_44469597
  1. 基本的二进制加法/减法器

  2. 两个二进制数字Ai,Bi和一个进位输入Ci相加,产生一个和输出Si,以及一个进位输出Ci+1。表2-2中列出一位全加器进行加法运算的输入输出真值表。根据表2-2所示的真值表,三个输入端和两个输入端可按如下逻辑方程进行联系:Si=Ai⊕Bi⊕CiCi+1=AiBi+BiCi+CiAi表2-2一位全加器真值表      输入输出AiBiCiSiCi+10000000110010100110110010101011100111111 按此表达式组成的一位全加器(FA)的逻辑结构见图2-4(a)。图2-
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:77824
    • 提供者:weixin_38538950
« 12 3 »