您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用verilog实现除法器(两种方法)

  2. 一、 实验目的与要求: 用verilog语言编写出一个除法器的代码,并在modelsim中进行功能仿真,认真的完成实验报告。 二、 实验设备(环境)及要求: 在modelsim环境下编写代码与测试程序,并仿真; 在synplify pro下编译,设置硬件并综合。 三、 实验内容及步骤: 1、 选择除法器的算法,本实验开始采用的是减法实现除法器的例子(比如十进制中的a/b,可先比较a与b的大小,如果a>b,则商加1,a<=a-b,再进行比较大小,直到a<b,商不变,余数为a);
  3. 所属分类:硬件开发

  1. 基于Verilog计算精度可调的整数除法器的设计

  2. 目前,实现除法器的方法有硬件实现和软件实现两种方法。硬件实现的方法主要是以硬件的消耗为代价,从而有实现速度快的特点。用硬件的方法来实现除法器的研究很多,如利用微处理器实现快速乘除法运算,FPGA实现二进制除法运算,模拟除法器等;而通过软件实现的除法器算法,可以大大提高器件的工作频率和设计的灵活性,可以从总体上提高设计性能,而设计高效实用的算法是除法器的关键,故除法器的算法研究成为现今热点。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:180224
    • 提供者:weixin_38735544
  1. EDA/PLD中的基于Verilog计算精度可调的整数除法器的设计

  2. 0 引 言   除法器是电子技术领域的基础模块,在电子电路设计中得到广泛应用。目前,实现除法器的方法有硬件实现和软件实现两种方法。硬件实现的方法主要是以硬件的消耗为代价,从而有实现速度快的特点。用硬件的方法来实现除法器的研究很多,如利用微处理器实现快速乘除法运算,FPGA实现二进制除法运算,模拟除法器等;而通过软件实现的除法器算法,可以大大提高器件的工作频率和设计的灵活性,可以从总体上提高设计性能,而设计高效实用的算法是除法器的关键,故除法器的算法研究成为现今热点。   目前,软件方面主要是
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:140288
    • 提供者:weixin_38678796
  1. 基于Verilog计算可调的整数除法器的设计

  2. 0 引 言   除法器是电子技术领域的基础模块,在电子电路设计中得到广泛应用。目前,实现除法器的方法有硬件实现和软件实现两种方法。硬件实现的方法主要是以硬件的消耗为代价,从而有实现速度快的特点。用硬件的方法来实现除法器的研究很多,如利用微处理器实现快速乘除法运算,FPGA实现二进制除法运算,模拟除法器等;而通过软件实现的除法器算法,可以大大提高器件的工作频率和设计的灵活性,可以从总体上提高设计性能,而设计高效实用的算法是除法器的关键,故除法器的算法研究成为现今热点。   目前,软件方面主要是
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:184320
    • 提供者:weixin_38590567