您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 二进制码与HDB3码相互转换

  2. 该程序能够实现二进制码与HDB3码型的转换
  3. 所属分类:其它

    • 发布日期:2009-06-11
    • 文件大小:4096
    • 提供者:yangbear111
  1. VB 键盘及BASE码查询

  2. 可以查询键盘的 ASCII码及 二进制码!还有相关 效果 及代码 及BASE 64 码 资料 等
  3. 所属分类:VB

    • 发布日期:2009-07-17
    • 文件大小:3145728
    • 提供者:wjzihao
  1. 循环码编码方法研究 周宦银,朱玲赞

  2. 任何两个相邻的循环码只有一位数字不同,因此循环码具有可靠性高的优点,在通信、军事等领域中应用非常广泛。由于循环码是无权码,书写和编制都比普通二进制码麻烦,正确的编制是电路设计的关键。根据循环码的特点,总结出了书写循环码简单、方便又不容易出错的方法,同时提出了任意2N进制循环码的编码方法。
  3. 所属分类:专业指导

    • 发布日期:2009-08-17
    • 文件大小:666624
    • 提供者:rrshengqi
  1. 格雷码与二进制码的互换—算法与硬件实现

  2. 格雷码与二进制码的互换—算法与硬件实现 采用软件及硬件Verilog的两种方法转换,有兴趣可以了解一下
  3. 所属分类:其它

    • 发布日期:2010-01-12
    • 文件大小:83968
    • 提供者:figoxwm
  1. 二进制格雷码与自然二进制码的互换

  2. 二进制格雷码与自然二进制码的互换,讲述非常清楚
  3. 所属分类:其它

    • 发布日期:2010-03-03
    • 文件大小:74752
    • 提供者:whlwa
  1. 二进制格雷码与自然二进制码的互换

  2. 在精确定位控制系统中,为了提高控制精度,准确测量控制对象的位置是十分重要的。目前,检测位置的办法有两种:其一是使用位置传感器,测量到的位移量由变送器经A/D转换成数字量送至系统进行进一步处理。此方法精度高,但在多路、长距离位置监控系统中,由于其成本昂贵,安装困难,因此并不实用;其二是采用光电轴角编码器进行精确位置控制。光电轴角编码器根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。而绝对式编码器是直接输出数字量的传感器,它是利用自然二进制或循环二进制(格雷码)方式进行光电转换的
  3. 所属分类:专业指导

    • 发布日期:2010-03-23
    • 文件大小:175104
    • 提供者:webyes_vicp_net
  1. 提取文件的二进制码软件

  2. 可以将任何文件的二进制码提取到TXT文件。 这样就可以在需要的地方包含文件的二进制码。
  3. 所属分类:其它

    • 发布日期:2011-03-09
    • 文件大小:4096
    • 提供者:peterbig
  1. float二进制码查看器

  2. 查看float的二进制码,16进制表示。 从网上得到的资源,出处:http://www.61ic.com/code/viewthread.php?tid=2486
  3. 所属分类:专业指导

    • 发布日期:2012-10-09
    • 文件大小:121856
    • 提供者:chen_dian_dian
  1. 二进制码 转格雷码

  2. 二进制码生成 二进制码转格雷码MATLAB程序
  3. 所属分类:其它

    • 发布日期:2014-09-20
    • 文件大小:441
    • 提供者:bssltx
  1. 格雷码转二进制码

  2. 输入格雷码,输出对应二进制码,MATLAB代码
  3. 所属分类:其它

    • 发布日期:2014-09-20
    • 文件大小:227
    • 提供者:bssltx
  1. 字符串转二进制码流及解码matlab代码.zip

  2. 字符串转二进制码流及解码matlab代码,可用于通信原理实验的仿真,可以将传输中文
  3. 所属分类:其它

    • 发布日期:2019-07-16
    • 文件大小:2048
    • 提供者:u012579502
  1. 格雷码与二进制码的相互转换

  2. 格雷码与二进制码的相互转换 (1)最高位相同; (2)从次高位到最低位,每位格雷码数值 Gi 为二进制码的对应位 Bi 与上一位 Bi+1 作比较 的结果:当 Bi与 Bi+1相同时,Gi为 0;相异时,Gi为 1,以此类推得出格雷码的全部位
  3. 所属分类:讲义

    • 发布日期:2020-04-03
    • 文件大小:351232
    • 提供者:weixin_45382911
  1. 二进制码与格雷码PK

  2. 大家在写程序的时候,可能会听闻,什么独热码,什么格雷码,什么二进制码等等,本节意在解释这几种编码之间的区别和优势以及用verilog怎么去实现,下面先介绍这几种编码的区别。
  3. 所属分类:其它

    • 发布日期:2020-07-17
    • 文件大小:64512
    • 提供者:weixin_38622611
  1. 我与FPGA的恋爱之二进制码转换成BCD码

  2. 本文章是关于二进制码转换成BCD码的相关设计。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:40960
    • 提供者:weixin_38625448
  1. bcd码和二进制码的区别

  2. bcd码和二进制码的区别 二进制是由1和0两个数字组成的,它可以表示两种状态,即开和关。所有输入电脑的任何信息最终都要转化为二进制。目前通用的是ASCII码。最基本的单位为bit。 BCD码(Binary-Coded Decimal)亦称二进码十进数或二-十进制代码。用4位二进......
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:41984
    • 提供者:weixin_38570854
  1. 二进制码转格雷码互转换的FPGA设计

  2. 今天,之所以想介绍二进制码与格雷码互转换的目的是为介绍异步FIFO作铺垫的。接下来,我们一起了解它们之间是如何转换的以及用Verilog HDL进行设计。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:35840
    • 提供者:weixin_38610682
  1. Java字符串转成二进制码的方法

  2. 主要为大家详细介绍了Java字符串转成二进制码的方法,具有一定的参考价值,感兴趣的小伙伴们可以参考一
  3. 所属分类:其它

    • 发布日期:2020-08-30
    • 文件大小:30720
    • 提供者:weixin_38631182
  1. 8421BCD码、ASCII码、二进制码原理以及相互之间的转换

  2. 8421BCD码、ASCII码、二进制码原理以及相互之间的转换
  3. 所属分类:讲义

    • 发布日期:2016-03-29
    • 文件大小:292864
    • 提供者:xwq2324
  1. vc++ 二进制格雷码与自然二进制码的转换

  2. 内容索引:VC/C++源码,算法相关,二进制,格雷码  二进制格雷码与自然二进制码的互相转换,大家知道,在精确定位控制系统中,为了提高控制精度,我们通常使用位置传感器,测量到的位移量由变送器经A/D转换成数字量送至系统进行进一步处理,本程序就派上用场了,由格雷码转换到自然码。
  3. 所属分类:其它

    • 发布日期:2021-03-15
    • 文件大小:13312
    • 提供者:weixin_38656741
  1. 基于分层混合统计的视频二进制码及其在人脸检索电视系列中的应用

  2. 基于分层混合统计的视频二进制码及其在人脸检索电视系列中的应用
  3. 所属分类:其它

    • 发布日期:2021-03-12
    • 文件大小:1048576
    • 提供者:weixin_38683193
« 12 3 4 5 6 7 8 9 10 ... 50 »