您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 两个4位二进制计数器构成一个六十进制计数器

  2. VHDL 两个4位二进制计数器构成一个六十进制计数器,使用VHDL语言
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:128000
    • 提供者:sunrier
  1. 同步二进制计数器小论文

  2. 同步二进制计数器小论文同步二进制计数器小论文
  3. 所属分类:专业指导

    • 发布日期:2009-08-15
    • 文件大小:130048
    • 提供者:zhang_hanshi
  1. 74LS160实现十二进制计数器

  2. 数字逻辑设计 74LS160实现十二进制计数器
  3. 所属分类:专业指导

    • 发布日期:2009-11-10
    • 文件大小:63488
    • 提供者:stone890115
  1. 微机原理与接口技术 8253计数器

  2. 8253计数器实验 一、实验要求:利用8086外接8253可编程定时器/计数器,可以实现对外部事件进行计数。 二、实验目的:1、学习8086与8253的连接方法。2、学习8086对8253的控制方法。 三、实验电路及连线图:用硬导线将8253片选信号CS53接至138译码200-207,将8279的片选信号CS79接至208-20F,GATE0接至电源+5V,CLK0接至脉冲源上的UP,OUT0接至一个发光管(L0)。 四、实验说明: 本实验中计数器按方式0工作。即十六位二进制计数器。当计数设
  3. 所属分类:专业指导

    • 发布日期:2009-12-30
    • 文件大小:1048576
    • 提供者:JUNYUN1314
  1. 四位二进制计数器译码程序

  2. library IEEE; use IEEE.std_logic_1164.all; entity sysegd is port (x : in std_logic_vector(3 downto 0); s : out std_logic_vector (6 downto 0)); end entity; architecture bin27seg_arch of sysegd is begin process(x) begin case x(3 downto 0) is when "000
  3. 所属分类:C

  1. 十二进制计数器 VHDL源码 和 原理图 都有

  2. 十二进制计数器,max + plusII…… vhdl语言 和 原理图 都有
  3. 所属分类:专业指导

    • 发布日期:2010-11-25
    • 文件大小:2048
    • 提供者:w2542
  1. 74ls161 逻辑功能

  2. 同步四位二进制计数器74LS161 74LS161的逻辑功能
  3. 所属分类:专业指导

    • 发布日期:2012-04-04
    • 文件大小:351232
    • 提供者:wayne24
  1. 分频器加12进制计数器VHDL

  2. 十二进制异步计数器,内置分频器,含测试代码VHDL,上机测试成功
  3. 所属分类:嵌入式

    • 发布日期:2012-06-25
    • 文件大小:192512
    • 提供者:ericwelling
  1. 8位二进制计数器vhdl源程序及原理图

  2. 8位二进制计数器vhdl源程序及原理图,波形,源代码。
  3. 所属分类:3G/移动开发

    • 发布日期:2012-11-03
    • 文件大小:340992
    • 提供者:liu2009301883
  1. 十二进制计数器,Multisim10仿真

  2. 十二进制计数器,Multisim10仿真
  3. 所属分类:专业指导

  1. 设计一个32位二进制计数器

  2. 用VHDL语言设计一个32位二进制计数器并进行功能仿真
  3. 所属分类:其它

    • 发布日期:2013-03-13
    • 文件大小:40960
    • 提供者:sunsunsun230
  1. 十二进制计数器

  2. 十二进制计数器,利用数字逻辑完成。使用240C608芯片。
  3. 所属分类:硬件开发

    • 发布日期:2013-06-23
    • 文件大小:352256
    • 提供者:quan123hao
  1. 三十二进制计数器的HDL源文件程序与仿真

  2. 三十二进制计数器的HDL源文件程序与仿真。用VHDL语言设计一个32位二进制计数器并进行功能仿真
  3. 所属分类:专业指导

  1. 采用LV实现二进制计数器实验

  2. 采用LV编写程序 实现二进制计W数器实验
  3. 所属分类:VB

  1. 使用Verilog语言进行FPGA开发,生成二进制计数器

  2. 使用Verilog这种硬件描述语言,用软件ModelSim及Quartus II,在FPGA完成二进制计数器。
  3. 所属分类:硬件开发

    • 发布日期:2019-01-15
    • 文件大小:370688
    • 提供者:sinat_41829161
  1. 数字逻辑二位二进制计数器课程设计.doc

  2. 数字逻辑二位二进制计数器课程设计
  3. 所属分类:专业指导

    • 发布日期:2020-04-11
    • 文件大小:153600
    • 提供者:feijiaogu7393
  1. 30进制计数器.zip

  2. 这是我们这次的数电实验题目: 用一片 74LS160 同步十进制计数器和一片 74LS161 同步二进制计数器,连接成三十进 制的计时电路。输入信号为数字脉冲信号。
  3. 所属分类:软件测试

    • 发布日期:2020-07-03
    • 文件大小:87040
    • 提供者:qq_43482287
  1. 二十四进制计数器.zip

  2. 这是我们数电实验题目: 使用74ls160和74ls161设计24进制计数器即: 用一片 74LS160 同步十进制计数器和一片 74LS161 同步二进制计数器,连接成二十四 进制的计时电路。输入信号为数字脉冲信号。
  3. 所属分类:其它

    • 发布日期:2020-07-03
    • 文件大小:122880
    • 提供者:qq_43482287
  1. Maxim实时时钟DS1372内置二进制计数器

  2. Maxim Integrated Products(美信)推出DS1372实时时钟(RTC),内置二进制计数器和唯一64位序列号,可用于数字数据应用。数字版权管理(DRM)软件要求在文件下载时记录修改时间,并且具有唯一的序列号用于认证操作人员。DS1372内置的二进制计数器和唯一的64位序列号不仅仅满足DRM的要求,还使设计人员可以减少系统元件数并采用廉价的处理器。该RTC集成这一系列功能在8引脚μSOP封装内,理想用于MP3/MP4/PMP播放器、个人录像机(PVR)、数码相机以及电子收款机(
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:60416
    • 提供者:weixin_38500222
  1. 元器件应用中的Maxim推出内置二进制计数器和唯一64位序列号的RTC

  2. Maxim推出DS1372实时时钟(RTC),内置二进制计数器和唯一64位序列号,可用于数字数据应用。数字版权管理(DRM)软件要求在文件下载时记录修改时间,并且具有唯一的序列号用于认证操作人员。DS1372内置的二进制计数器和唯一的64位序列号不仅仅满足DRM的要求,还使设计人员可以减少系统元件数并采用廉价的处理器。该RTC集成这一系列功能在8引脚μSOP封装内,理想用于MP3/MP4/PMP播放器、个人录像机(PVR)、数码相机以及电子收款机(POS)终端。     DS1372二进制计数器
  3. 所属分类:其它

    • 发布日期:2020-12-03
    • 文件大小:41984
    • 提供者:weixin_38657848
« 12 3 4 5 6 7 8 9 10 ... 22 »