点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 交通灯控制器设计
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
交通灯控制器的VHDL设计
有关于交通灯控制器的VHDL设计,可以作为论文的参考资料
所属分类:
交通
发布日期:2009-05-23
文件大小:527360
提供者:
xinflying
微机原理课程设计--交通灯控制设计
本报告主要介绍了微机原理与接口技术的应用之一——交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,还介绍了交通灯控制器的原理以及电路接线,其中主要用到的芯片有可编程并行通信接口芯片8255A。在设计中所用到的编程语言是汇编语言,延时采用的是软件延时(即通过汇编指令)。
所属分类:
交通
发布日期:2009-06-08
文件大小:762880
提供者:
ssaye
数电设计 交通灯控制器
数电设计 交通灯 控制器
所属分类:
交通
发布日期:2009-06-16
文件大小:182272
提供者:
shudiansheji
weiming交通灯控制器
EDA课程设计,是一个关于交通灯控制器的
所属分类:
交通
发布日期:2009-07-13
文件大小:26624
提供者:
weiming958713
交通灯控制器。。。。。。。。。。。设计
1.用数字电路实现下面功能: 图1.1 交通灯控制器控制流程图 2.显示剩余时间; 3.增加拐弯时序; 4.增加自动夜间开关功能,黄灯亮; 5. 增加手动功能,方便盲人通过。
所属分类:
交通
发布日期:2009-09-30
文件大小:384000
提供者:
wan6418200111
eda设计的交通灯控制器
eda交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器
所属分类:
交通
发布日期:2009-10-08
文件大小:73728
提供者:
yuhai9269
毕业设计 交通灯控制器 单片机
毕业设计 单片机交通灯控制器 带倒计时,紧急处理换向
所属分类:
硬件开发
发布日期:2009-10-20
文件大小:48128
提供者:
whq870804vip
VHDL交通灯控制器设计
VHDL实现的交通灯控制器 基于状态机实现 代码明晰
所属分类:
交通
发布日期:2009-12-18
文件大小:2048
提供者:
wqq_eeb
单片机交通灯毕业设计
电子类专业的毕业设计,采用51系列单片机设计的交通灯控制器。
所属分类:
硬件开发
发布日期:2010-03-22
文件大小:401408
提供者:
heyuanjie1
基于VHDL的交通灯控制器设计
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
所属分类:
交通
发布日期:2010-06-02
文件大小:207872
提供者:
cuiguan1989
交通灯控制器交通灯控制器
交通灯控制器 设计要求: 1)设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。 3)黄灯亮时,要求每秒闪亮一次。 4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5)一道有车而另一道无车(实验时用开关 K0 和 K1 控制),交通灯控
所属分类:
交通
发布日期:2010-07-01
文件大小:16384
提供者:
shacuicui
交通灯控制器通灯控制器交通灯控制器
交通灯控制器 设计要求: 1)设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。 3)黄灯亮时,要求每秒闪亮一次。 4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5)一道有车而另一道无车(实验时用开关 K0 和 K1 控制),交通灯控
所属分类:
交通
发布日期:2010-07-01
文件大小:119808
提供者:
shacuicui
基于单片机的交通灯毕业设计
模拟交通灯控制器就是使用单片机来控制一些LED 和数码管,模拟真实交通灯的功能。红、黄、绿交替闪亮,利用数码管倒计数显示间隔等,用于管理十字路口的车辆及行人交通,计时牌显示路口通行转换剩
所属分类:
交通
发布日期:2010-12-21
文件大小:214016
提供者:
wang11973
课程设计_交通灯控制器.doc
数字电路课程设计的报告,交通灯控制器,附带multisim的仿真图……
所属分类:
交通
发布日期:2011-02-13
文件大小:356352
提供者:
hisun1218
eda交通灯控制器设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
所属分类:
交通
发布日期:2011-03-09
文件大小:111616
提供者:
woyizhizhizhi
交通灯控制器设计 EDA
基于QuartusII上交通灯控制器设计 ,硬件描述语言
所属分类:
嵌入式
发布日期:2012-03-06
文件大小:119808
提供者:
yangmin33333
基于VHDL语言与EDA交通灯控制器设计
基于VHDL语言与EDA交通灯控制器设计。
所属分类:
软件测试
发布日期:2013-03-31
文件大小:194560
提供者:
qrt1437
基于VHDL语言的交通灯控制器设计
:传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。 ~~~~~~~~~~~~~~~~~~~~~· 非常详细的设计过程,仿真图,设计思路,代码
所属分类:
交通
发布日期:2009-02-14
文件大小:456704
提供者:
u010840581
基于VHDL的交通灯控制器设计
应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。
所属分类:
其它
发布日期:2020-10-26
文件大小:261120
提供者:
weixin_38549327
EDA/PLD中的基于VHDL的交通灯控制器设计
应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个
所属分类:
其它
发布日期:2020-12-09
文件大小:239616
提供者:
weixin_38740827
«
1
2
3
4
5
6
7
8
9
10
...
22
»