您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 交通灯控制电路的设计

  2. 内容:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。5、同步设置人行横道红、绿灯指示。6、带实验报告
  3. 所属分类:交通

    • 发布日期:2007-09-01
    • 文件大小:177152
    • 提供者:huhaihong
  1. 简易交通灯控制逻辑电路设计-数电课程设计

  2. 这是我数电课程设计的报告,题目是简易交通灯控制逻辑电路设计,希望对你有所帮助。
  3. 所属分类:交通

    • 发布日期:2009-06-15
    • 文件大小:137216
    • 提供者:liwxcom
  1. 交通灯控制逻辑电路设计

  2. 交通灯控制逻辑电路设计,画出实验电路原理图,并标明各元件的参数值。
  3. 所属分类:交通

    • 发布日期:2009-06-24
    • 文件大小:137216
    • 提供者:yucanhai
  1. 交通灯控制逻辑电路设计

  2. [要点提示]   一、实验目的   二、实验预习要求   三、实验原理   四、实验仪器设备   五、练习内容及方法   六、实验报告   七、思考题
  3. 所属分类:交通

    • 发布日期:2009-07-11
    • 文件大小:104448
    • 提供者:dingo_dogzbsz
  1. 交通灯控制电路.doc

  2. 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.时钟指示灯每秒亮一次; 4.计出交通灯控制电路逻辑图。
  3. 所属分类:交通

    • 发布日期:2009-12-11
    • 文件大小:818176
    • 提供者:shacuicui
  1. 交通灯控制逻辑电路设计

  2. 设计任务与要求   1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;   2.要求黄灯先亮5秒,才能变换运行车道;   3.黄灯亮时,要求每秒钟闪亮一次 。
  3. 所属分类:交通

    • 发布日期:2009-12-12
    • 文件大小:88064
    • 提供者:wuxuemei756131
  1. 用VHDL语言设计交通灯控制电路

  2. 本课程设计主要在实验板上构造一个交通灯控制电路,能够控制十字路口的交通灯显示,用红黄绿三种灯型指示交通的程序设计。在课程设计中,系统开发平台为MAX+PLUS II,程序设计输入方法为VHDL的文本输入法设计,程序运行平台为Windows 98/2000/XP。在整个课程设计中运用了状态转移表、状态转移图、系统框图以及电路顶层图来确定程序设计思路,根据交通灯控制逻辑完成程序的设计。程序通过调试能够运行,仿真结果符合程序要实现的功能,下载到EDA实验箱初步实现了设计目标,并且经过适当完善后,可以
  3. 所属分类:交通

    • 发布日期:2010-01-25
    • 文件大小:365568
    • 提供者:chenlu152002
  1. 交通灯控制电路设计,proteus仿真

  2. 本文着眼于目前普遍应用在城市道路上的交通灯控制系统,从课程设计的题目要求出发,设计了一个东西方向和南北方向十字路口的交通灯控制电路。 首先进行交通灯状态变换的分析和交通灯总体框架的设计,接着提出了2种电路设计方案,通过优劣比较后选定了方案2:先设计让倒计时显示器按规律运行的电路,再通过倒计时电路的信号来控制交通灯按4种状态循环变换。 电源电路采用9V变压器、整流桥和稳压管,使220V的交流电转换为5V的直流电。4Hz方波脉冲由555定时器产生,再由74LS193实现4分频,最终输出1Hz的脉冲
  3. 所属分类:交通

    • 发布日期:2010-03-20
    • 文件大小:841728
    • 提供者:difficulthjr
  1. 带显示器交通灯数字逻辑课程设计

  2. 1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为6~45秒。时间可设置修改。2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。5、同步设置人行横道红、绿灯指示。
  3. 所属分类:交通

    • 发布日期:2008-01-12
    • 文件大小:217088
    • 提供者:saw2222
  1. 交通灯控制逻辑电路设计.doc

  2. 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。
  3. 所属分类:交通

    • 发布日期:2010-06-05
    • 文件大小:93184
    • 提供者:chaoaiyue
  1. 简易交通灯控制逻辑电路设计

  2. 简易交通灯控制逻辑电路设计-数电课程设计
  3. 所属分类:交通

    • 发布日期:2010-12-20
    • 文件大小:137216
    • 提供者:l224009
  1. 数字逻辑实验报告-交通灯控制电路

  2. 数字逻辑实验报告-交通灯控制电路 摘要 1 一、总体分析及框架 1.1设计一个东西方向和南北方向十字路口的交通灯控制电路 1.2交通灯控制电路分析 1.2.1交通灯运行状态分析 1.2.2电路工作总体框架 二、交通灯控制电路的部分电路 2.1电源电路 2.2脉冲电路 2.3分频电路 2.4状态控制电路 2.4灯显示电路 三、结语
  3. 所属分类:专业指导

    • 发布日期:2012-08-17
    • 文件大小:1048576
    • 提供者:purple_sun_
  1. 简易交通灯控制逻辑电路设计报告

  2. 简易交通灯控制逻辑电路设计报告 一、 设计任务和要求 设计一个简易交通灯控制逻辑电路,要求: 1、 东西方向绿灯亮,南北方向红灯亮,时间15s。 2、 东西方向与南北方向黄灯亮,时间5s。 3、 南北方向绿灯亮,东西方向红灯亮,时间10s。 4、 如果发生紧急事件,可以动手控制四个方向红灯全
  3. 所属分类:软件测试

    • 发布日期:2014-04-23
    • 文件大小:733184
    • 提供者:fifolru
  1. 数字逻辑课程设计--交通灯控制电路的设计.rar(70.33K).rar

  2. 数字电子技术课程设计实验报告 课程性质 数字逻辑课程设计 课程目的 训练学生综合地运用所学的 《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。本次电脑仿真所用的软件版本为EWB Version 5.0c 课程设计题目 题目:交通灯控制电路的设计 要求: 1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5
  3. 所属分类:交通

    • 发布日期:2008-12-15
    • 文件大小:70656
    • 提供者:hkl811527791
  1. 交通灯控制电路设计报告

  2. 数字逻辑实验 交通灯控制电路设计报告 计算机系的看下,很不错
  3. 所属分类:交通

    • 发布日期:2008-12-22
    • 文件大小:481280
    • 提供者:xz5026581
  1. 数电设计 交通灯控制逻辑电路设计---proteus

  2. 设计一个十字路口交通信号灯控制器,其要求如下: 1.满足如图4.1顺序工作流程。 图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、 黄、绿灯分别为EWR、EWY、EWG。 它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红 灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 2. 应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时
  3. 所属分类:交通

    • 发布日期:2020-07-01
    • 文件大小:20480
    • 提供者:qq_21499251
  1. EDA/PLD中的一种基于CPLD的交通灯控制系统设计

  2. 0 引言   随着我国经济的快速发展,车辆拥有量也随之急剧增加,再加上人口数量的膨胀,城市的交通拥挤问题变得日益突出。如何使交通灯的控制更加合理,使现有的交通资源发挥更大的效益,已经成为城市管理者和科技工作者共同关心的问题。为此,我们设计开发了一个交通灯控制系统,由于该系统采用VHDL语言自顶向下的设计方法,利用可编程逻辑器件CPLD来实现,通过外部输入可方便地设定交通灯的延迟时间,使交通灯控制数字电路设计得到了优化,提高了系统的灵活性、可靠性和可扩展性。该系统可以较好地缓解交通压力,并可实现
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:354304
    • 提供者:weixin_38741195
  1. EDA/PLD中的基于EDA的交通灯控制系统作

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:75776
    • 提供者:weixin_38651365
  1. EDA/PLD中的基于EDA的交通灯控制系统

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:158720
    • 提供者:weixin_38686041
  1. 一种基于CPLD的交通灯控制系统设计

  2. 0 引言   随着我国经济的快速发展,车辆拥有量也随之急剧增加,再加上人口数量的膨胀,城市的交通拥挤问题变得日益突出。如何使交通灯的控制更加合理,使现有的交通资源发挥更大的效益,已经成为城市管理者和科技工作者共同关心的问题。为此,我们设计开发了一个交通灯控制系统,由于该系统采用VHDL语言自顶向下的设计方法,利用可编程逻辑器件CPLD来实现,通过外部输入可方便地设定交通灯的延迟时间,使交通灯控制数字电路设计得到了优化,提高了系统的灵活性、可靠性和可扩展性。该系统可以较好地缓解交通压力,并可实现
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:502784
    • 提供者:weixin_38715019
« 12 3 4 »