您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. fpag实现0-40000任意分频的数字信号产生器

  2. 0-40000分频只取出了里面10个,具体的分频数可以自行设置,也可以增加减少分频间隔!
  3. 所属分类:硬件开发

    • 发布日期:2009-07-14
    • 文件大小:641024
    • 提供者:smartzhou2008
  1. 任意分频的实现(verilog)

  2. 任意分频源码 任意分频源码 任意分频源码 任意分频源码
  3. 所属分类:C/C++

    • 发布日期:2010-03-01
    • 文件大小:110592
    • 提供者:createfly
  1. verilog 实现任意分频器

  2. verilog 实现任意分频器 经典 verilog 实现任意分频器 经典 verilog 实现任意分频器 经典 verilog 实现任意分频器 经典
  3. 所属分类:专业指导

    • 发布日期:2010-04-21
    • 文件大小:137216
    • 提供者:forecyk
  1. 任意分频verilog代码

  2. 使用verilog代码编写的1 到256 范围内的整数与半倍整数分频。
  3. 所属分类:专业指导

    • 发布日期:2010-05-31
    • 文件大小:245760
    • 提供者:wenhuawu
  1. FPGA verilog 任意分频程序

  2. 用FPGA实现任意分频,代码用verilog语言编写,仅供参考。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-20
    • 文件大小:370688
    • 提供者:fullattack010
  1. verilog实现任意分频任意占空比~

  2. verilog实现任意分频任意占空比,其中例子简单,精炼,易懂
  3. 所属分类:专业指导

    • 发布日期:2010-11-12
    • 文件大小:1048576
    • 提供者:liukai14052
  1. 任意分频系数的小数分频原理

  2. 小数分频,任意分频系数的小数分频原理,任意分频系数的小数分频原理,任意分频系数的小数分频原理
  3. 所属分类:其它

    • 发布日期:2011-05-10
    • 文件大小:331776
    • 提供者:hzyyyyyyyyyy
  1. 使用VHDL进行分频器设计_任意分频

  2. vhdl设计的可以对时钟进行任意分频,所有的例子均仿真过
  3. 所属分类:其它

    • 发布日期:2012-05-01
    • 文件大小:421888
    • 提供者:rxwangjie5009
  1. 任意分频的verilog 语言实现(占空比50%)

  2. 任意分频的verilog 语言实现(占空比50%) 1. 偶数倍(2N)分频 2. 奇数倍(2N+1)分频 3. N-0.5 倍分频 4. 任意整数带小数分频
  3. 所属分类:硬件开发

    • 发布日期:2012-12-11
    • 文件大小:83968
    • 提供者:noodles5320
  1. 任意分频的verilog语言实现

  2. 任意分频的verilog语言实现 FPGA开发
  3. 所属分类:硬件开发

    • 发布日期:2013-02-19
    • 文件大小:62464
    • 提供者:lizb0704
  1. VHDL任意分频器

  2. VHDL编写的任意分频器,通过修改参数即可实现任意的分频 占空比为50%,读者可以调整代码修改所需的占空比。
  3. 所属分类:其它

    • 发布日期:2014-04-03
    • 文件大小:1024
    • 提供者:hq1356466973
  1. Verilog_实现任意占空比、任意分频的方法

  2. 这个是用verilog实现任意分频和占空比的一篇文档,分频在FPGA设计中很常见,需要掌握其分频原理
  3. 所属分类:IT管理

    • 发布日期:2014-05-25
    • 文件大小:1048576
    • 提供者:u010830004
  1. verilog 实现任意分频方法

  2. 在verilog程序设计中,我们往往要对一个频率进行任意分频,而且占空比也有一定的要求这样的话,对于程序有一定的要求,likee现在做一个简单的总结,让大家轻轻松松地学会对一个频率的任意分频。
  3. 所属分类:专业指导

    • 发布日期:2008-11-13
    • 文件大小:45056
    • 提供者:wjh20064713
  1. 任意分频器

  2. 通过设计任意分频器,学习较复杂的数字系统的设计方法。通过设计任意分频器,掌握电路中人工生成分频时钟设计方法.分频器工作时分析分频出来的信号,掌握时钟信号的使用注意事项。完成任意分频器功能,通过端口输入分频系数,按照分频系数的值作信号分频,可以实现奇数分频和偶数分频两种分频方法
  3. 所属分类:硬件开发

    • 发布日期:2018-03-01
    • 文件大小:388096
    • 提供者:qiaoningning
  1. 我与FPGA的恋爱之任意分频和倍频

  2. 本文章是关于倍频 和任意分频相关知识。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:40960
    • 提供者:weixin_38526612
  1. 教你什么才是真正的任意分频

  2. 本文带领大家来了解一下你什么才是真正的任意分频。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:61440
    • 提供者:weixin_38571759
  1. Verilog实现偶数的任意分频

  2. 例如你要实现6分频 通过调节 parameter HW = 3 ; parameter LW = 3 ;
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:93184
    • 提供者:WJC1997
  1. 【从零开始走进FPGA】教你什么才是真正的任意分频

  2. 没有一本教科书会讲到精准,浅显易懂的高精度任意分频原理(至少Bingo没看到过),同时也没有一种设计能用同一个电路实现任意频率的控制。Bingo对于当年做的任意波形发生器时候的相位累加器原理,从中受到启发,总结出高精度任意频率合成的重要思维,在此贡献给大家,希望对大家有用。
  3. 所属分类:其它

    • 发布日期:2020-08-12
    • 文件大小:159744
    • 提供者:weixin_38738528
  1. 基于FPGA的任意分频器设计【转】

  2. 分频器是FPGA设计中使用频率非常高的基本单元之一。尽管目前在大部分设计中还广泛使用集成锁相环(如Altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计,但是,对于时钟要求不太严格的设计,通过自主设计进行时钟分频的实现方法仍然非常流行。首先这种方法可以节省锁相环资源,再者这种方式只消耗不多的逻辑单元就可以达到对时钟的操作目的。
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:227328
    • 提供者:weixin_38700779
  1. 基于FPGA的任意分频器设计

  2. 分频器是FPGA设计中使用频率非常高的基本单元之一。尽管目前在大部分设计中还广泛使用集成锁相环(如Altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计,但是,对于时钟要求不太严格的设计,通过自主设计进行时钟分频的实现方法仍然非常流行。首先这种方法可以节省锁相环资源,再者这种方式只消耗不多的逻辑单元就可以达到对时钟的操作目的。
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:179200
    • 提供者:weixin_38722721
« 12 3 4 5 6 7 8 9 10 ... 13 »