您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. IC课设——伽罗瓦域乘法器设计

  2. 伽罗瓦域GF(2^128)乘法器是Ghash算法(一种用于加解密系统散列算法)的核心部件,其速度与硬件开销决定着整个Ghash模块的整体性能。最终目的是:完成伽罗瓦域GF(2^128)乘法器的设计。
  3. 所属分类:专业指导

    • 发布日期:2011-12-18
    • 文件大小:68608
    • 提供者:bonner89
  1. 伽罗瓦域运算的软件实现

  2. 二维条码长期暴露于印刷品表面, 很容易受到污损破坏, 为了达到预期的识别效果, 除了要设计合理条 码结构外, 还需要采用一种纠错能力强的纠错算法 为此, 分析了 QR 码的结构组成以及 RS 纠错码的编译算法及原 理;并通过实例对 RS 码在 QR 码中的编译码算法及过程进行了研究, 研究结果表明 RS 码在 QR 码的编译过程中能够 达到快速 准确的识别效果
  3. 所属分类:其它

    • 发布日期:2011-12-20
    • 文件大小:200704
    • 提供者:iiiidan
  1. 抽象代数讲义3,域和伽罗瓦理论(中文)

  2. 抽象代数讲义3,域和伽罗瓦理论(中文)
  3. 所属分类:专业指导

    • 发布日期:2008-07-08
    • 文件大小:5242880
    • 提供者:hillhow
  1. ECC点乘verilog硬件实现

  2. 实现了ECC点乘,二进制伽罗瓦域,顶层点乘算法模块使用了一篇论文中介绍的高速点乘运算
  3. 所属分类:硬件开发

    • 发布日期:2017-12-15
    • 文件大小:13312
    • 提供者:aikannba
  1. 有限域GF128,128位矩阵乘法器代码

  2. 伽罗瓦域GF(2^128)乘法器是Ghash算法(一种用于加解密系统散列算法)的核心部件,其速度与硬件开销决定着整个Ghash模块的整体性能。本文通过Arash Reyhani-Masoleh 提出的一种算法,进行分析设计,然后用Verilog编程进行仿真,最后用Synplify 进行综合。最后,通过与一些其他的乘法器实现方法相比较,可以知道,依现在的硬件来看也是很容易实现。
  3. 所属分类:嵌入式

    • 发布日期:2018-01-09
    • 文件大小:32505856
    • 提供者:qq_39578222
  1. 1:基本概念>><<卷2:线性代数>><<卷3:域论及伽罗瓦理论>>

  2. 1:基本概念>><><>
  3. 所属分类:专业指导

    • 发布日期:2009-03-09
    • 文件大小:10485760
    • 提供者:avalonbbs
  1. Galois致夏瓦利尔的信.pdf

  2. 埃瓦里斯特·伽罗瓦(1811年10月25日-1832年5月31日),1811年10月25日生,法国数学家。现代数学中的分支学科群论的创立者。用群论彻底解决了根式求解代数方程的问题,而且由此发展了一整套关于群和域的理论,人们称之为伽罗瓦理论,并把其创造的“群”叫作伽罗瓦群(Galois Group)。在世时在数学上研究成果的重要意义没被人们所认识,曾呈送科学院3篇学术论文,均被退回或遗失。后转向政治,支持共和党,曾两次被捕。21岁时死于一次决斗。 此为其死前将其研究成果写给夏瓦利尔的信(中文翻译版
  3. 所属分类:讲义

    • 发布日期:2020-05-09
    • 文件大小:1048576
    • 提供者:qq_42632840
  1. 广义自缩序列的线性复杂度

  2. 周期与线性复杂度的稳定性是衡量周期序列伪随机性质的一个重要指标.本文在给出广义自缩序列的线性复杂度的上界之后,借助伽罗瓦域中的若干理论,分析了该类序列的线性复杂度的稳定性,包括广义自缩序列在单符号插入、删除变换和少量符号替换操作下的线性复杂度的变化情况,给出了变化后序列的线性复杂度的具体表达式.
  3. 所属分类:其它

    • 发布日期:2021-02-20
    • 文件大小:236544
    • 提供者:weixin_38670208