您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于TMS320LF2407的可编程信号发生与频率检测器设计

  2. 基于TMS320LF2407的可编程信号发生与频率检测器设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-13
    • 文件大小:152576
    • 提供者:Augusdi
  1. 基于TMS320LF2407的可编程信号发生与频率检测器设计

  2. 基于TMS320LF2407的可编程信号发生与频率检测器设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-13
    • 文件大小:265216
    • 提供者:Augusdi
  1. 数电课程设计(十三进制同步减法计数器和串行序列信号检测器)

  2. 很好的数电课程设计:内容有:十三进制同步减法计数器,串行序列信号检测器,六进制同步加法计数器。设计准确。希望对课设的朋友有帮助。
  3. 所属分类:专业指导

    • 发布日期:2009-10-29
    • 文件大小:357376
    • 提供者:guodongwuyu
  1. 序列检测器\电平信号_000_001_011_111_序列检测器的设计

  2. 序列检测器\电平信号_000_001_011_111_序列检测器的设计,希望喜欢!
  3. 所属分类:专业指导

    • 发布日期:2010-04-08
    • 文件大小:172032
    • 提供者:windhood911
  1. 实验四 用状态机实现序列检测器的设计

  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。例6-27描述的电路完成对序列数"11100101"的。当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相
  3. 所属分类:专业指导

    • 发布日期:2010-12-08
    • 文件大小:380928
    • 提供者:XUQIWEN1
  1. EDA课程设计—序列信号检测器

  2. 1、设计一个有限状态机,用以检测输入序列“1110101101”由左开始。画出状态转换图、使用VHDL语言编程、使用EPM7128SLC84-15芯片。
  3. 所属分类:专业指导

    • 发布日期:2011-05-03
    • 文件大小:399360
    • 提供者:ydd627706076
  1. 数字逻辑课程设计(数字钟 三人表决器 “101”序列检测器)

  2. 本人设计一个数字时钟,主要用来实现00~59的秒、分六十进制计数器, 00~23的小时二十四进制计数器,整点报时,置数,清零以及数码管显示等功能。 本人设计一个运算单元,主要用来实现三人多数表决,当三个人中通过的人数比不通过的人数多时,则通过,反之,你不通过。 本人设计一个状态机,主要用来检测所输入的序列中是否有“101”序列,设置不同的状态,输入不同的信号,从而得出次态和输出。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-31
    • 文件大小:217088
    • 提供者:petters
  1. 交通信号控制参数的仿真优化方法研究

  2. 为优化区域交通网络中各信号控制器的配时方案 ,利用递推最小二乘算法 (RLS) 和同时扰动随机近似(SPSA) 算法 ,由检测器流量估计 DynaCHINA 动态网络交通 仿真与分析系统的动态OD 矩阵 ,输入并标定各路段的速度 - 密度模型参数和饱和流 量 ,获得网络状态的准确估计 ,包括各路段的速度、密度、流量、队列长度等
  3. 所属分类:C/C++

  1. 序列信号发生器与检测器设计

  2. 用状态机设计实现串序列检测器设计,可以用原理图输入法设计序列信号发生器.
  3. 所属分类:专业指导

    • 发布日期:2011-11-23
    • 文件大小:472064
    • 提供者:chewbee88
  1. 序列检测器

  2. 设计序列信号检测器,具体要求:当检出输入码流为10110100或11011010时输出检出信号为1,否则为0,同时输出检出该码流的个数(最大计数值可不超过255,否则给出计数溢出信号为1)
  3. 所属分类:硬件开发

    • 发布日期:2012-01-03
    • 文件大小:120832
    • 提供者:hatkama
  1. 串行密码信号检测器

  2. 串行密码信号检测器的相关文档 要做作业的同学可以参考
  3. 所属分类:IT管理

    • 发布日期:2012-06-28
    • 文件大小:247808
    • 提供者:bbs519200816
  1. WCDMA 2100频段 信号检测器 场强 原理图

  2. WCDMA 2100频段 信号检测器 场强 原理图,实现变频和检测,手持式
  3. 所属分类:硬件开发

    • 发布日期:2012-11-25
    • 文件大小:69632
    • 提供者:shangwenhuan
  1. 序列信号检测器

  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的相同,则输出 1,否则输出 0。
  3. 所属分类:专业指导

    • 发布日期:2013-06-13
    • 文件大小:306176
    • 提供者:u011047241
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。
  3. 所属分类:其它

    • 发布日期:2020-07-26
    • 文件大小:62464
    • 提供者:weixin_38672739
  1. 一种无线充电、无线通信的植入式癫痫信号检测器

  2. 提出了一种无线癫痫信号检测器,主要由记录电极、癫痫信号提取和放大模块(包括斩波放大器和滤波器)、射频能量收集器(RFEH)、蓝牙模块和癫痫信号检测算法等组成,用于构建闭环神经刺激器。设计了一种由多级“零漂移”同相放大器组成的斩波放大器、用于分离局部场电位(LFP)和棘波(Spikes)的低通滤波器(200 Hz)和带通滤波器(300 Hz~5 000 Hz),以及由单通路Villard倍压整流电路构成的RFEH(为系统供电)。采用海岸线参数法来检测癫痫信号的发作。测试结果表明,斩波放大器的输入漂
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:535552
    • 提供者:weixin_38597300
  1. 一类序列信号检测器的设计

  2. 介绍了一种二进制序列信号检测器的3种设计方法,该电路可应用于安全防盗、密码认证等加密场合,以及在海量数据中对敏感信息的自动侦听。电路采用数字系统设计方法,步骤程序化,电路可靠性高。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:159744
    • 提供者:weixin_38571104
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:252928
    • 提供者:weixin_38736562
  1. 3种二进制序列信号检测器的实现方案

  2. 该检测电路可广泛用于日常生产、生活及军事。在许多电子技术资料中也有一些序列信号检测电路的设计,但设计方法单一、扩展性不强。下面通过实例来说明电路的3种设计方法。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:222208
    • 提供者:weixin_38710578
  1. 模拟技术中的一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。再如在军事领域,比较关心的是敌方通信中某些感兴趣的内容,而通信数据是海量且加密的,没有必要一一破译,只要破译部分关键词后,就可在侦听过程中,只检测这些关键词,一旦侦听到,立即启动记录仪,记录关键词时段的通信内容加以解密。在许多电子技术资料中也有一些序列信号检测电
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:207872
    • 提供者:weixin_38556394
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码中,当输入密码与事先设定的密码一致时,成功。再如在军事领域,比较关心的是敌方通信中某些感兴趣的内容,而通信数据是海量且加密的,没有必要一一破译,只要破译部分关键词后,就可在侦听过程中,只检测这些关键词,一旦侦听到,立即启动记录仪,记录关键词时段的通信内容加以解密。在许多电子技术资料中也有一些序列信号检测电路的设计
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:260096
    • 提供者:weixin_38694141
« 12 3 4 5 6 7 8 9 10 ... 30 »