您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA技术分频器程序设计

  2. ⑴使用EDA实验箱上的2Hz脉冲,进行2分频(占空比为1:2),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED(发光二极管)的亮灭时间来验证是否符合设计要求。 ⑵使用EDA实验箱上的10Hz脉冲,进行10分频(占空比为1:2),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED的亮灭时间来验证是否符合设计要求。 ⑶使用EDA实验箱上的5Hz脉冲,进行5分频(占空比为2:5),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED的亮灭时间来验证是否符合设计要求。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-25
    • 文件大小:832512
    • 提供者:s617015380
  1. verlog 分频器

  2. 这是一个基于VERLOG 语言的FPGA程序。它的功能就是实现对某个频率进行分频。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-12
    • 文件大小:493
    • 提供者:liangan88
  1. 单片机实验程序流水灯,计数器,分频器,等等

  2. 用单片机做的一些小实验, 实验很简单,但是很有趣 包括流水灯,计数器,分频器,等等
  3. 所属分类:硬件开发

    • 发布日期:2009-10-21
    • 文件大小:8192
    • 提供者:zhangkai7979
  1. 数控分频器VHDL程序

  2. 在CLK输入750KHZ的频率信号;输出FOUT接蜂鸣器,由KEY2/KEY3控制输入8位预置数并在数码管1~2上显示。
  3. 所属分类:硬件开发

    • 发布日期:2009-12-07
    • 文件大小:748544
    • 提供者:dingkani
  1. 用分频器计数器实现7段数码管功能

  2. --27MHZ分频成1hz: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FENPIN IS PORT(CLK_IN:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC); END ENTITY FENPIN; ARCHITECTURE BEHAVE OF FENPIN IS CONSTANT BB:INTEGER:=12;---------------
  3. 所属分类:嵌入式

    • 发布日期:2009-12-14
    • 文件大小:1048576
    • 提供者:shaoqso111
  1. verilog编写的分频器(三种)

  2. 此程序是用verilog语言编写的分频器模块,含有三种分频方式。
  3. 所属分类:专业指导

    • 发布日期:2010-03-13
    • 文件大小:600
    • 提供者:fengyingjia
  1. 分频器的设计及其vhdl程序

  2. 分频器 普通分频 占空比为50%的奇数分频 以及VHDL程序
  3. 所属分类:专业指导

    • 发布日期:2010-06-05
    • 文件大小:56320
    • 提供者:yongyeyejing
  1. eda-分频器的程序

  2. 自己改改里边两个数据,就可以做出任意分频的实体了
  3. 所属分类:专业指导

    • 发布日期:2010-06-17
    • 文件大小:178176
    • 提供者:jiuwenlong0001
  1. FPGA verilog 任意分频程序

  2. 用FPGA实现任意分频,代码用verilog语言编写,仅供参考。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-20
    • 文件大小:370688
    • 提供者:fullattack010
  1. EDA分频器的 程 序

  2. 分频是EDA中常见的程序,对初学者可以看懂,也容易掌握。
  3. 所属分类:专业指导

    • 发布日期:2010-11-24
    • 文件大小:1024
    • 提供者:shanscc
  1. 16分频器的Verilog HDL程序源代码

  2. 运用Verilog HDL语言编写16进制分频器,适当调整参数,都可实现偶数分频
  3. 所属分类:电信

    • 发布日期:2011-03-17
    • 文件大小:419
    • 提供者:shenxielangzi
  1. 奇数分频器的VerilogHDL实现

  2. 本程序采用双计数器实现奇数分频器的设计,通过对源代码里的相关变量进行赋值,可以实现任意占空比为50%的奇数分频器。
  3. 所属分类:硬件开发

    • 发布日期:2011-03-29
    • 文件大小:864
    • 提供者:wangtikui215
  1. EPM3064A分频器

  2. 用EPM3064A写的分频器程序,程序用VHDL语言编写,包含与ATMEGE64的通信。。。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-20
    • 文件大小:908
    • 提供者:yxuelang
  1. vhdl的分频器存储器蜂鸣器流水灯的设计

  2. 基于vhdl的分频器,存储器,蜂鸣器,流水灯的设计程序
  3. 所属分类:嵌入式

    • 发布日期:2011-07-12
    • 文件大小:6144
    • 提供者:liuying1987911
  1. verilog语言奇数分频器

  2. N倍奇数分频器的verilog程序,详细讲解原理。
  3. 所属分类:硬件开发

    • 发布日期:2011-09-07
    • 文件大小:371712
    • 提供者:xinruli
  1. verilog的奇数倍,偶数倍分频器程序

  2. verilog的奇数倍,偶数倍分频器程序,想从基础开始学习的同学可以分享。
  3. 所属分类:硬件开发

    • 发布日期:2012-05-25
    • 文件大小:28672
    • 提供者:xakann
  1. EDA 任意整数分频分频器

  2. 非常经典的一款分频程序,绝对实用 功能:对输入时钟clock进行F_DIV倍分频后输出clk_out。 其中F_DIV为分频系数,分频系数范围为1~2^n (n=F_DIV_WIDTH) 若要改变分频系数,改变参数F_DIV或F_DIV_WIDTH到相应范围即可。 若分频系数为偶数,则输出时钟占空比为50%; 若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分 频系数(当输入为50%时,输出也是50%)。
  3. 所属分类:硬件开发

    • 发布日期:2013-08-14
    • 文件大小:2048
    • 提供者:sysk_msk_by
  1. 基于FPGA的分频计数程序

  2. 基于FPGA的分频器设计,能够输出多个时钟信号
  3. 所属分类:讲义

    • 发布日期:2014-10-13
    • 文件大小:825
    • 提供者:oujiqing10
  1. vhdl分频器

  2. vhdl分频程序点亮led,分频值较大,可以自行更改试用
  3. 所属分类:其它

    • 发布日期:2014-12-21
    • 文件大小:289792
    • 提供者:noreall
  1. 分频器:对所有程序进行编程。 Ogfortællehvor lang tid det tog在雷格尼德-源码

  2. 分频器:对所有程序进行编程。 Ogfortællehvor lang tid det tog在雷格尼德
  3. 所属分类:其它

    • 发布日期:2021-02-18
    • 文件大小:7168
    • 提供者:weixin_42134769
« 12 3 4 5 6 7 8 9 10 ... 18 »