您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于matlab的永磁无刷直流电机的仿真研究

  2. 无刷直流电机的simulink动态仿真模型
  3. 所属分类:嵌入式

    • 发布日期:2009-05-21
    • 文件大小:203776
    • 提供者:luoxiao0825
  1. DSP在无刷直流电机控制系统仿真中的应用

  2. :给出了一种应用于无刷直流电机控制系统的数字信号处理器(DSP)的模型。根据实际DSP 应用中各种重要的硬件功能、控制方法,以及各种环境干扰和非线性因素,在Simulink 环境中构 建了整个DSP 模型,包括CAP 模块、AD 采样模块、PWM 产生模块和控制模块。通过使用Simulink 模型优化技术,如C MEX S-函数和子系统封装技术,提高了DSP 模型的仿真精度和速度。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-22
    • 文件大小:751616
    • 提供者:luoxiao0825
  1. 磁悬浮无刷直流风力发电机Fuzzy-PID分级控制研究

  2. 风力发电系统中采用磁悬浮无刷直流发电机,具有高转速、无润滑、无磨损、无机械噪声、不需密封、高精度和长寿命等优点。磁悬浮系统是典型的非线性迟滞系统,难以建立精确的数学模型,应用常规PID控制难以取得良好的控制效果。为解决这一难题,针对磁悬浮系统设计出了Fuzzy-PID 分级控制器,它结合了模糊控制超调小、稳定性和鲁棒性好以及PID控制快速性、精度高的优点;同时是一种无固定阈值无触点的切换方式,基于模糊规则的切换保证了两种控制方式间的平稳过渡,因而具有良好的跟踪设定值能力和较好的抗干扰能力。通过
  3. 所属分类:嵌入式

    • 发布日期:2009-08-28
    • 文件大小:408576
    • 提供者:okokoka1215
  1. 电动汽车驱动用无刷直流电动机的控制与仿真

  2. 通过对无刷直流电动机 (BLDCM)数学模型的分析 ,建立了 BLDCM的动态仿真模型 ,确定了调 速控制系统的结构。利用 MAT LAB 7 . 0 /Si mulink仿真软件 ,对 BLDCM及其双闭环调速控制系统的阶跃响应 进行了仿真。仿真结果表明: BLDCM的机械特性较软 ,但当其采用了转速、 电流双闭环调速控制系统后 ,电机 的机械特性得到了明显改善;另外 ,它还具有响应快、 控制精度高、 抗干扰能力强等特点 ,可满足电动汽车驱动 的要求。试验结果与理论分析相一致。
  3. 所属分类:嵌入式

  1. 直流无刷电机matlab/simulink仿真模型

  2. 网上收集的无刷电机控制的simulink仿真模型,非常难得
  3. 所属分类:嵌入式

    • 发布日期:2010-03-11
    • 文件大小:1048576
    • 提供者:haoso2
  1. 数字PID控制无刷直流电动机的设计与仿真毕业设计

  2. 本文在分析BLDC数学模型的基础上, 基于Matlab/Simulink搭建了无刷直流电动机仿真模块进而建立了BLDC控制系统的仿真模型,并对该模型进行了BLDC双闭环控制系统的仿真分析
  3. 所属分类:嵌入式

    • 发布日期:2010-06-07
    • 文件大小:1048576
    • 提供者:showmelwood
  1. 高速电机电路模型和铁耗的分析与计算

  2. 详细分析电源谐波电压的基础上,采用谐波分析法建立了变频器供电的变频异步电机的谐波等效电路模型,计及了集肤效应对谐波等效电路参数的影响,探讨了提高高速变频异步电动机效率和功率因数的途径。
  3. 所属分类:专业指导

    • 发布日期:2010-10-26
    • 文件大小:3145728
    • 提供者:lzhang12345
  1. 一种基于Matlab的无刷直流电机控制系统建模仿真方法

  2. 摘要:在分析无刷直流电机(BLDC)数学模型的基础上,提出了一种无刷直流电机控制系统仿 真建模的新方法。在Matlab/Simulink环境下,把独立的功能模块和S函数相结合,构建了无刷直流 电机系统的仿真模型。系统采用双闭环控制:速度环采用离散PID控制,根据滞环电流跟踪型PWM 逆变器原理实现电流控制。仿真和试验结果与理论分析一致,验证了该方法的合理性和有效性。 此方法也适用于验证其他控制算法的合理性,为实际电机控制系统的设计和调试提供了新的思路。 关键词:无刷直流电机;建模;仿真;电流滞
  3. 所属分类:专业指导

    • 发布日期:2011-04-20
    • 文件大小:609280
    • 提供者:hdj2924
  1. 直流无刷电机仿真模型

  2. 介绍了一种基于Matlab/simulink的直流无刷电机仿真模型,供大家系统开发、方案论证
  3. 所属分类:其它

    • 发布日期:2011-04-23
    • 文件大小:56320
    • 提供者:LvQC201
  1. 无刷直流电机调速系统

  2. 应用MATLAB仿真的无刷直流电机模型,给出了每块的仿真模型,
  3. 所属分类:电信

  1. 2.4G刷卡信息

  2. 本通讯协议用于学生点到刷卡设备与服务器进行交互,是基于TCP/IP协议之上的应用层协议,采用请求/应答的通讯模型实现。 服务器使用公网地址,在某个端口侦听刷卡设备连接
  3. 所属分类:Java

    • 发布日期:2012-05-11
    • 文件大小:1048576
    • 提供者:wenhuahetao
  1. 13.6G刷卡考勤

  2. 刷卡考勤 Java实现 TCP协议 本通讯协议用于学生点到刷卡设备与服务器进行交互,是基于TCP/IP协议之上的应用层协议,采用请求/应答的通讯模型实现。 服务器使用公网地址,在某个端口侦听刷卡设备连接
  3. 所属分类:Java

    • 发布日期:2012-05-11
    • 文件大小:1048576
    • 提供者:wenhuahetao
  1. 无刷直流电机

  2. 源简介 摘要:在分析无刷直流电机(BLDC)数学模型的基础上,提出了一种无刷直流电机控制系统仿 真建模的新方法。在Matlab/Simulink环境下,把独立的功能模块和S函数相结合,构建了无刷直流 电机系统的仿真模型。系统采用双闭环控制:速度环采用离散PID控制,根据滞环电流跟踪型PWM 逆变器原理实现电流控制。仿真和试验结果与理论分析一致,验证了该方法的合理性和有效性。 此方法也适用于验证其他控制算法的合理性,为实际电机控制系统的设计和调试提供了新的思路。 关键词:无刷直流电机;建模;仿真
  3. 所属分类:制造

    • 发布日期:2012-05-12
    • 文件大小:289792
    • 提供者:pork123400
  1. zbrush亚洲人头标准模型

  2. 标准的人头模型可以贴任何贴图,用于简便游戏制作的工作流程,学习zbrush的必备,zproject笔刷的使用.
  3. 所属分类:网络游戏

    • 发布日期:2012-06-29
    • 文件大小:13631488
    • 提供者:tsb1990
  1. 无刷电机仿真模型

  2. 无刷电机的仿真模型,需要用matlab打开,帮助刚开始学习的人,很不错的东西。
  3. 所属分类:其它

    • 发布日期:2012-08-07
    • 文件大小:108544
    • 提供者:g87320238
  1. 无刷直流电动机直接驱动系统动态特性分析

  2. 在推导了转予表面安装永磁体无刖直流电动机的数学模型曲基础上,介绍了一种以集成数字信号处理器ADMC331为核·o全数字矢量控制无刷直流电动机直接驱动系统。着重分析了电流参考信号超前角(滞后角)、系统参数和驱动方式对无刷直流电动机系统动态性能的影响.仿真及试验结果证明超前(或滞后)角的存在都会使系统的动态性能变差,无刷直流电动机系统处于磁场定向控制的情况下,电机的输出转矩最大,系统的动态性能最好;增大电流比例调节嚣增益和功率逆变驱动电路放大倍数可以使系统获得更好的动态性能:采用正弦波加三次、五次
  3. 所属分类:制造

    • 发布日期:2012-12-27
    • 文件大小:326656
    • 提供者:baiony
  1. 3D简单模型

  2. 简单的3d人物模型!可以进行调动作,蒙皮!刷权重等练习!
  3. 所属分类:游戏开发

    • 发布日期:2013-01-10
    • 文件大小:26624
    • 提供者:hhhhhh0o
  1. 直流无刷电机控制系统的建模与仿真

  2. 随着直流无刷电机应用领域的不断扩大, 各种 控制算法和控制策略层出不穷. 为了便于理论分析 和验证各种控制算法和策略, 正确建立直流无刷电 机控制系统的模型就显得非常重要[ 1 ] . 文献[ 2, 3] 对直流无刷电机控制系统所建立的模型具有仿真速 度慢等缺点, 并且整个仿真系统对于逆变器模块、转 速环模块和电流环模块的建模与分析不够深入. 本 文利用M atlab软件下的simu link仿真工具箱对直流 无刷电机控制系统进行详细的建模与仿真. 仿真结 果表明该仿真模型与理论分析相吻合.
  3. 所属分类:专业指导

    • 发布日期:2013-04-26
    • 文件大小:1048576
    • 提供者:w179152696
  1. 基于MATLAB的无刷直流电机模糊控制仿真研究

  2. 无传感无刷直流电机(SLBLDCM)是一个多变量、非线性系统,PID控制在其调速系统中得到广泛应用。本文将模糊PID控制应用到SLBLDCM控制系统中,首先建立了无刷直流电机的数学模型,然后利用MATLAB中的Fuzzy Toolbox和Simulink完成了该电机模糊PID双闭环调速系统的仿真设计。仿真结果表明:控制系统运行平稳,速度跟踪快速准确,同时又具有较高的控制精度。
  3. 所属分类:嵌入式

    • 发布日期:2008-10-02
    • 文件大小:172032
    • 提供者:guliande
  1. 永磁无刷直流电机模型

  2. 永磁无刷直流电机的双闭环模型,电流环和速度环,电机本体模型。
  3. 所属分类:制造

    • 发布日期:2018-05-15
    • 文件大小:22528
    • 提供者:m0_37851906
« 12 3 4 5 6 7 8 9 10 ... 19 »