您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. modelsim仿真,使用手册

  2. modelsim仿真功能仿真(前仿真,代码仿真) 主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟,主要是验证电路与理想情况是否一致。可综合FPGA代码是用RTL级代码语言描述的,其输入为RTL级代码与Testbench.在设计的最初阶段发现问题,可节省大量的精力 门级仿真和时序列仿真 (后仿真) 使用综合软件综合后生成的门级网表进行仿真,不加入时延文件的仿真就是门级仿真.可以检验综合后的功能是否满足功能要求,其速度比功能仿真要慢,比时序仿真要快. 在门级仿真的基础上加
  3. 所属分类:嵌入式

    • 发布日期:2010-07-15
    • 文件大小:583680
    • 提供者:lee117606
  1. RISC_CUP规范程序

  2. 在MODELSIM上面运行过了,前仿真和后仿真调试程序,大家放心下载!
  3. 所属分类:其它

  1. Allegro_PCB_SI 一步一步学会前仿真

  2. 1 Cadence Allegro PCB SI简介 4 1.1 高速PCB设计流程 4 2 Allegro PCB SI的前仿真 4 2.1 准备仿真模型和其他需求 4 2.1.1 获取所使用元器件的仿真模型 4 2.1.2 获取所使用连接器的仿真模型 4 2.1.3 获取所使用元器件和连接器的器件手册和用户指南等相关资料 4 2.1.4 获取所需的规范文档 4 2.1.5 了解相关电路和接口工作原理 4 2.1.6 提取与信号完整性相关的要求 4 2.1.7 预先创建拓扑样本 4 2.1.
  3. 所属分类:硬件开发

    • 发布日期:2013-06-25
    • 文件大小:6291456
    • 提供者:u010234449
  1. 手把手教你学高速电路信号仿真(杨荣)

  2. 这本书的DDR部分讲的很基础,很适合初学者,包括DDR前仿真和后仿真,教你一步一步的做,还有就是仿真的参数如何动dataheet中获取。
  3. 所属分类:硬件开发

    • 发布日期:2016-09-08
    • 文件大小:55574528
    • 提供者:hpuyuxuan
  1. 使用modelsim进行前仿真和后仿真

  2. 本文档记录了如何使用modelsim进行前仿真和后仿真,对学习FPGA与modelsim有一定的帮助
  3. 所属分类:硬件开发

    • 发布日期:2018-10-01
    • 文件大小:490496
    • 提供者:cfx_id
  1. step by step:Allegro中PCB SI仿真步骤

  2. Allegro PCB SI是Cadence SPB系列EDA工具之一,针对电路板级的信号完整性和电源完整性提供了一整套完善、成熟而强大的分析和仿真方案,并且和Cadence SPB的其他工具一起,实现了从前端到后端、约束驱动的高速PCB设计流程。信号完整性和电源完整性的仿真按照在这个设计流程中所处的阶段可以分为前仿真和后仿真,本文会介绍Allegro PCB SI在前仿真阶段基本的设计流程和操作步骤,并重点介绍其中的配置和模型加载环节。
  3. 所属分类:硬件开发

    • 发布日期:2018-11-12
    • 文件大小:4194304
    • 提供者:cn_sandman
  1. 红绿灯(包含程序和proteus仿真).rar

  2. 只是用郭天祥的51单片机上已有的资源模拟的红绿灯的程序,并没有自己设计电路,使用了单片机上前两位数码管和八个LED灯,在proteus仿真中八个数码管前四个使用的是绿色LED,后四个使用的是红色LED。 红灯与绿灯显示时间均为50s 中间的黄灯显示时间为15s 绿灯用前四个发光二极管全亮作代表 红灯用后四个发光二极管全亮作代表 黄灯用八位发光二极管闪烁作代表 刚开始显示的为绿灯 显示顺序:绿->黄->红->黄->绿->黄->红->
  3. 所属分类:C

    • 发布日期:2020-02-08
    • 文件大小:97280
    • 提供者:zh_j_wei
  1. 能力风暴机器人仿真系统备课教案.pdf

  2. 能力风暴机器人仿真系统备课教案pdf,提供“能力风暴机器人仿真系统备课教案”免费资料下载,主要包括初识机器人、认识SVJC、简单的流程图编程方法、显示计算、传感器模块、碰撞检测、地面检测、循环模块、多任务模块等内容,可供学习使用。wwWZp-nnof Co呐蒙古拦聘网 色。而机器人“思考”同电脑“思考”的道理是一样的,在它的体内有一个简化的“电脑”,这个“电 脑”控制着机器人的一举一动。其实电脑以及机器人它们并不会什么真正意义上的思考,他们的思 考能力完全来自于程序。程序是由一些指令组成的,它告
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:10485760
    • 提供者:weixin_38743481
  1. 基于Sigrity仿真的串扰和SSN探讨分析.pdf

  2. Allegro PCB SI是Cadence SPB系列EDA工具之一,针对电路板级的信号完整性和电源完整性提供了一整 套完善、成熟而强大的分析和仿真方案,并且和Cadence SPB的其他工具一起,实现了从前端到后端、约束驱 动的高速PCB设计流程。 信号完整性和电源完整性的仿真按照在这个设计流程中所处的阶段可以分为前仿真和后仿真,本文会介绍 Allegro PCB SI在前仿真阶段基本的设计流程和操作步骤,并重点介绍其中的配置和模型加载环节。
  3. 所属分类:专业指导

    • 发布日期:2019-10-11
    • 文件大小:320512
    • 提供者:qq_42841862
  1. 2006测试测量和自动化解决方案文集.pdf

  2. 2006测试测量和自动化解决方案文集pdf,该资料是基于计算机的测试测量和自动化应用方案2006年优秀论文的合订本,包含全部获奖论文。LabV正W特别奖 基丁虚拟仪器的发动机试验台架系统.… 行业:汽车 院校特别奖 基于LabⅤIEW的智能车仿真平台 64 行业:高校/教育 N系统联盟商特别奖 采用N模块化仪器构建业界领先的RFID测试系统. .67 行业:电信 一等奖 汽车 基于N产品的高压共轨柴油机电控单元测试系统的开发 作者:杭勇杨明陆娟 职务:高级工程师 公司:一汽无锡油泵油嘴研究所
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:77594624
    • 提供者:weixin_38743481
  1. 蒸发过程的解耦控制仿真实验平台.pdf

  2. 蒸发过程的解耦控制仿真实验平台pdf,蒸发过程的解耦控制仿真实验平台第21卷第l8期 009年9月 系统仿真学报 Sep.2009 curri+ u 采用如下的自逅应辨识算法 (1)X(t-l)e(t) T -Orp: cp Ir LoCx2-3 1) 1-X(t-1)X(t-1) OHF PHF CH (t-1)表示t-1时刻参数的基于强制循环蒸发系统 QHF PHe=QDx2+2PF 的非线性模型的估计 令输出变量n1=xy2=x2,并将上述几式分别带入式 如果e(l)>4△ (1)(
  3. 所属分类:其它

    • 发布日期:2019-10-08
    • 文件大小:973824
    • 提供者:weixin_38744153
  1. 太阳能电池升压电路的设计与仿真全解析.pdf

  2. 太阳能电池升压电路的设计与仿真全解析pdf,针对这个问题,国内也有关于太阳能电池升压控制电路的相关设计,但只给出了主体设计及充电电路,未进行深入的分析与验证。本文根据 DC-DC 变换电路的特点,设计了电源输入电路、脉宽调制电路以及推挽电路,通过 MulTIsim 软件对各部分电路进行仿真,验证了该方案的可行性。1)输出脉冲频率是工作频率,由*脚到接地端的定时电阻RT和7脚连到地 的电容器CT决定,频率值为 181.18 =5.78(1) R?Cr5.1×0.04 其中RT单位为uF,f单位为k
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:503808
    • 提供者:weixin_38744435
  1. 常用于APFC的软开关BOOST电路的分析与仿真.pdf.pdf

  2. 常用于APFC的软开关BOOST电路的分析与仿真.pdfpdf,常用于APFC的软开关BOOST电路的分析与仿真.pdfamiem12nsn-81q1) 0 00 M tEl 从图上可以看到 1,MOS管在开通时,可以看到 miller效应在驱动信号上造成的平台。 2,当M管开通时,在MoS的漏极和二管上产生很大的尖峰电流 从仿真结果来看,的确存在我们前面分析的容性开通、反向恢复等问题。 那么软开关就能解决这个问题吗? 下面我们先推出今天的第一个软开关的例子 此电路是我以前分析一华为通信电源模块
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38744375
  1. DAP仿真器使用方法

  2. DAP仿真器使用方法 详细介绍 需要的可以看看哈 DAP仿真器使用方法 详细介绍 需要的可以看看哈它秉火零死角玩转 选项配置 Options for Target 'uCOS_em W/in 8 Derice] Target Outpnt Listing I User |=/C+-|Asm I. nker Deb4g utilities C买m地 with restriction|au:EMSD31[stn9 Cortex-M Target Driver Seti 如果仿要噩接了电 ash on
  3. 所属分类:嵌入式

    • 发布日期:2019-03-02
    • 文件大小:868352
    • 提供者:qq_41675673
  1. 简述FPGA系统的仿真和测试

  2. 一、概述   FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。   在FPGA 设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:87040
    • 提供者:weixin_38537684
  1. EDA/PLD中的前仿真和后仿真

  2. 作者:李秋凤,华清远见嵌入式学院讲师。     仿真可以分为前仿真和后仿真,前仿真是功能仿真,目标是分析电路的逻辑关系的正确性,仿真速度快,可以根据需要观察电路输入输出端口和电路内部任一信号和寄存器的波形,后仿真是将电路的门延迟参数和各种电路单元之间的连线情况考虑在内后进行仿真,得到的仿真结果接近真实的应用情况,后仿真的速度相对于前仿真慢得多,在观测内部节点波形时比较困难,在一个完整的电路设计中应该包括这两个过程。   下面是简单的数字电路,代码及综合后的RTL网表如下:   `time
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:60416
    • 提供者:weixin_38637878
  1. 代码段:使用Ovito,ASE和其他工具进行预处理和后处理仿真的代码段-源码

  2. 代码段 该存储库包含随机的代码片段集合,用于使用Ovito,ASE和其他工具进行的前处理和后处理仿真。 请注意, imteksimcs下面的存储库的某些部分可以作为python软件包安装。 与安装 pip install --user git+https://github.com/IMTEK-Simulation/code-snippets.git 请对添加项进行以下分类: 松散的片段进入此处的相应子文件夹(存储库的根目录)。 如果不存在合适的文件夹,请创建它。 可导入的python模块进
  3. 所属分类:其它

    • 发布日期:2021-02-15
    • 文件大小:212992
    • 提供者:weixin_42097967
  1. 基于配焦椭流线法和梯度优化的自由反射面设计

  2. 结合配焦椭流线法和梯度算子,提出了一种针对LED 扩展光源的自由反射曲面优化设计方法,其中配焦椭流线法负责生成自由反射曲面,梯度算子实现逐代优化。以线度为5 mm 的LED 扩展光源的轴对称均匀投光为例,完成了单个光滑连续自由反射面的设计和优化。光学仿真结果表明,优化前初级照度均匀度约为0.77,而优化后达到0.98,效果良好,并具有向非轴对称自由反射曲面设计拓展的可能性。
  3. 所属分类:其它

    • 发布日期:2021-02-05
    • 文件大小:573440
    • 提供者:weixin_38652058
  1. 动态调制型光场相机波前传感器的数值仿真

  2. 光场相机波前传感器是一种新型的波前传感器,具有视场大、动态范围大的优势,但由于存在信号饱和现象,光场相机的线性度和波前传感精度较低。利用动态调制提高光场相机的线性度和波前传感精度,理论分析了光场相机波前传感的原理与特性,利用MATLAB软件对光场相机在动态调制时的波前闭环校正效果进行数值模拟,并与无调制光场相机的模拟结果进行对比分析。仿真结果表明:光场相机在动态调制时的测量精度高,校正效果较好,校正后远场光斑的斯特列尔比大于0.8,波前传感性能优于无调制光场相机。
  3. 所属分类:其它

    • 发布日期:2021-01-26
    • 文件大小:6291456
    • 提供者:weixin_38499553
  1. 前仿真和后仿真

  2. 作者:李秋凤,华清远见嵌入式学院讲师。     仿真可以分为前仿真和后仿真,前仿真是功能仿真,目标是分析电路的逻辑关系的正确性,仿真速度快,可以根据需要观察电路输入输出端口和电路内部任一信号和寄存器的波形,后仿真是将电路的门延迟参数和各种电路单元之间的连线情况考虑在内后进行仿真,得到的仿真结果接近真实的应用情况,后仿真的速度相对于前仿真慢得多,在观测内部节点波形时比较困难,在一个完整的电路设计中应该包括这两个过程。   下面是简单的数字电路,代码及综合后的RTL网表如下:   `time
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:61440
    • 提供者:weixin_38600696
« 12 3 4 5 6 7 8 9 10 ... 25 »