您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机应用技术选编(7)

  2. 内容简介    《单片机应用技术选编》(7) 选编了1998年国内50种科技期刊中有关单片机开发应用的文 章共510篇,其中全文编入的有113篇,摘要编入的397篇。全书共分八章,即单片机综合 应用技术;智能仪表与测试技术;网络、通信与数据传输;可靠性与抗干扰技术;控制系统 与功率接口技术;电源技术;实用设计;文章摘要。    本书具有重要实用价值,书中介绍的新技术、新器件以及单片机应用系统的软、硬件资 料有助于减少产品研制过程中的重复性劳动,提高单片机应用技术水平,是从事单片机应用 开发技
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:13631488
    • 提供者:zgraeae
  1. 单片机与DSP中的基于FPGA 的FIR 数字滤波器设计方案

  2. 摘要:本文简要介绍了FIR数字滤波器的结构特点和基本原理,提出基于FPGA和DSP Builder的FIR数字滤波器的基本设计流程和实现方案。   在Matlab/Simulink环境下,采用DSP Builder模块搭建FIR模型,根据FDATool工具对FIR滤波器进行了设计,然后进行系统级仿真和ModelSim功能仿真,其仿真结果表明其数字滤波器的滤波效果良好。通过SignalCompiler把模型转换成VHDL语言加入到FPGA的硬件设计中,从QuartusⅡ软件中的虚拟逻辑分析工具S
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:343040
    • 提供者:weixin_38571603
  1. 单片机与DSP中的基于FPGA分布式算法的滤波器设计

  2. 0 引言   传统数字滤波器硬件的实现主要采用专用集成电路(ASIC)和数字信号处理器(DSP)来实现。FPGA内部的功能块中采用了SRAM的查找表(lo-ok up table,LUT)结构,这种结构特别适用于并行处理结构,相对于传统方法来说,其并行度和扩展性都很好,它逐渐成为构造可编程高性能算法结构的新选择。   分布式算法是一种适合FPGA设计的乘加运算,由于FPGA中硬件乘法器资源有限,直接应运乘法会消耗大量的资源。本文利用了丰富的存储器资源进行查找表运算,设计了一种基于分布式算法低
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:238592
    • 提供者:weixin_38729108
  1. 单片机与DSP中的基于FPGA的高效FIR滤波器设计与实现

  2. 摘要: 给出了一种基于FPGA的数字滤波器的设计方法。该方法先通过MATLAB设计出一个具有具体指标的FIR滤波器, 再对滤波器系数进行处理, 使之便于在FPGA中实现, 然后采用基于分布式算法和CSD编码的滤波器结构进行设计, 从而避免了乘法运算, 节约了硬件资源,其流水线的设计方式也提高了运行速度。Matlab和Modelsim仿真表明, 该设计功能正确, 能实现快速滤波。   0 引言   数字滤波器在语音与图像处理、模式识别、雷达信号处理、频谱分析等应用中都具有重要作用。它能避免模拟
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:449536
    • 提供者:weixin_38563176
  1. 单片机与DSP中的FIR带通滤波器的FPGA实现

  2. 引 言   在FPGA应用中,比较广泛而基础的就是数字滤波器。根据其单位冲激响应函数的时域特性可分为无限冲击响应(Infinite Impulse Response,IIR)滤波器和有限冲击响应(Finite Impulse Response,FIR)滤波器。DSP Builder集成了Altera和Matlab/Simulink基于FPGA的信号处理的建模和设计。该工具可以将数字信号处理算法(DSP)系统表示成为一个高度抽象的模块,在不降低硬件性能的前提下,自动将系统映射为一个基于FPGA的
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:243712
    • 提供者:weixin_38550459
  1. 单片机与DSP中的基于多速率DA的根升余弦滤波器的FPGA实现

  2. 0 引 言   根升余弦成形滤波器是数字信号处理中的重要部件,它能对数字信号进行成形滤波,压缩旁瓣,减少干扰的影响,从而降低误码率。根据文献[1],它的传统FP-GA实现方式基于乘累加器(Multiplier Add Cell,MAC)结构,设计方便,只需要乘法器、加法器和移位寄存器即可实现,但是在FPGA中实现硬件乘法器十分耗费资源。特别是当滤波器阶数很高时,资源耗费不可忽视。若采用乘法器复用的结构,运算速度较慢。分布式算法(Distribute Arithmetic,DA)是另一种应用在F
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:505856
    • 提供者:weixin_38517728
  1. 单片机与DSP中的基于DSP Builder的16阶FIR滤波器实现

  2. 0 引 言   FIR数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,它能够提供理想的线性相位响应,在整个频带上获得常数群时延,从而得到零失真输出信号,同时它可以采用十分简单的算法予以实现。这些优点使FIR滤波器成为设计工程师的首选。在采用VHDL或VerilogHDL等硬件描述语言设计数字滤波器时。由于程序的编写往往不能达到良好优化而使滤波器性能表现一般,而采用调试好的IP Core需要向Al-tera公司购买。在此,采用一种基于DSP Builder的FPGA设计方法,使FIR滤
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:230400
    • 提供者:weixin_38692122
  1. 单片机与DSP中的基于FPGA的高速FIR数字滤波器的设计

  2. 1 引 言   目前FIR滤波器的实现方法主要有3种:利用单片通用数字滤波器集成电路、DSP器件和可编程逻辑器件实现。单片通用数字滤波器使用方便,但由于字长和阶数的规格较少,不能完全满足实际需要。使用DSP器件实现虽然简单,但由于程序顺序执行,执行速度必然不快。   FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好。但长期以来,FPGA一直被用于系统逻辑或时序控制上,很少有信号处理方面的应用,其原因主要
  3. 所属分类:其它

    • 发布日期:2020-11-30
    • 文件大小:154624
    • 提供者:weixin_38650508
  1. 单片机与DSP中的基于XC2V1000型FPGA的FIR抽取滤波器的设计作

  2. 1 引言    抽取滤波器广泛应用在数字接收领域,是数字下变频器的核心部分。目前,抽取滤波器的实现方法有3种:单片通用数字滤波器集成电路、DSP和可编程逻辑器件。使用单片通用数字滤波器很方便,但字长和阶数的规格较少,不能完全满足实际需要。使用DSP虽然简单,但程序要顺序执行,执行速度必然慢。现场可编程门阵列(FPGA)有着规整的内部逻辑阵列和丰富的连线资源,特别适用于数字信号处理,但长期以来,用FPGA实现抽取滤波器比较复杂,其原因主要是FPGA中缺乏实现乘法运算的有效结构。现在,FPGA集成了
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:97280
    • 提供者:weixin_38632046
  1. 单片机与DSP中的基于流水线技术的并行高效FIR滤波器设计

  2. 摘要:基于流水线技术,利用FPGA进行并行可重复配置高精度的FIR滤波器设计。使用VHDL可以很方便地改变滤波器的系数和阶数。在DSP中采用这种FIR滤波器的设计方法可以充分发挥FPGA的优势。     关键词:FIR滤波器 FPGA 流水线技术 数字滤波器可以滤除多余的噪声,扩展信号频带,完成信号预调,改变信号的特定频谱分量,从而得到预期的结果。数字滤波器在DVB、无线通信等数字信号处理中有着广泛的应用。在数字信号处理中,传统滤波器通过高速乘法累加器实现,这种方法在下一个采样周期到来期间
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:219136
    • 提供者:weixin_38520192
  1. 单片机与DSP中的FIR数字滤波器分布式算法的原理及FPGA实现

  2. 摘要:在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘积-积结构相比,具有并行处理的高效性特点。详细研究了基于FPGA、采用分布式算法实现FIR数字滤波器的原理和方法,并通过Xilinx ISE在Modelsim下进行了仿真。 关键词:分布式算法 DALUT FPGA FIR数字滤波器正在迅速地代替传统的由R、L、C元件和运算放大器组成的模块滤波器并且日益成为DSP的一种主要处理环节。FPGA也在逐渐取代ASIC和PDSP,用作前端数字信号处理的运算(如:FIR滤波
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:70656
    • 提供者:weixin_38732463
  1. 单片机与DSP中的基于FPGA流水线分布式算法的FIR滤波器的实现

  2. 摘要:提出了一种采用现场可编码门阵列器件(FPGA)并利用窗函数法实现线性FIR数字滤波器的设计方案,并以一个十六阶低通FIR数字滤波器电路的实现为例说明了利用Xilinx公司的Virtex-E系列芯片的设计过程。对于在FPGA中实现FIR滤波器的关键——乘加运算,给出了将乘加运算转化为查找表的分布式算法。设计的电路通过软件进行了验证并进行了硬件仿真,结果表明:电路工作正确可靠,能满足设计要求。 关键词:FIR滤波器 FPGA 窗函数 分布式算法 流水线随着数字技术日益广泛的应用,以现场可
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:92160
    • 提供者:weixin_38530211
  1. 单片机与DSP中的基于FPGA实现FIR滤波器的研究

  2. 摘要:针对在FPGA中实现FIR滤波器的关键--乘法运算的高效实现进行了研究,给了了将乘法化为查表的DA算法,并采用这一算法设计了FIR滤波器。通过FPGA仿零点验证,证明了这一方法是可行和高效的,其实现的滤波器的性能优于用DSP和传统方法实现FIR滤波器。最后介绍整数的CSD表示和还处于研究阶段的根据FPGA实现的要求改进的最优表示。 关键词:FPGA DA FIR滤波器 CSD数字滤波器是语音与图像处理、模式识别、雷达信号处理、频谱分析等应用中的一种基本的处理部件,它能满足波器对幅度和
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:90112
    • 提供者:weixin_38659159
  1. 单片机与DSP中的基于FPGA的FIR滤波器的实现

  2. 摘 要: 提出了一种采用现场可编程门阵列器件FPGA并利用窗函数法实现线性FIR数字滤波器硬件电路的方案,并以一个十六阶低通FIR数字滤波器电路的实现为例说明了利用Xilinx公司XC4000系列芯片的设计过程。设计的电路通过软件程序进行了验证和硬件仿真,结果表明电路工作正确可靠,能满足设计要求。 关键词: FPGA FIR数字滤波器 窗函数 全加器 随着微电子技术的发展,采用现场可编程门阵列FPGA进行数字信号处理得到了飞速发展。由于FPGA具有现场可编程的特点,可以实现专用集成电路,因
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:84992
    • 提供者:weixin_38560502
  1. 单片机与DSP中的基于FPGA的分布式算法FIR滤波器的设计实现

  2. 基于FPGA的分布式算法FIR滤波器的设计实现 中南大学信息与控制工程学院 王学梅 吴敏 FIR介绍 在许多信息处理过程中,如对信号的过滤、检测、预测等,都要广泛地用到滤波器,数字滤波器是数字信号处理中使用最广泛的一种方法,实现方法主要有IIR滤波器(无限冲激响应数字滤波器)和FIR滤波器(有限冲激响应数字滤波器)两种,其中,IIR滤波器需要执行无限数量卷积,能得到较好的幅度特性,其相位特性是非线性的;而FIR滤波器由有限个采样值组成,具有严格的线性相位特性。由于在数据通讯、语音信号处理、
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:106496
    • 提供者:weixin_38715567
  1. 单片机与DSP中的基于FPGA的IIR数字滤波器的快捷设计

  2. 0 引言 IIR数字滤波器在很多领域中都有着广阔的应用。与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,而且所用存储单元少,经济效率高。一个N阶IIR数字滤波器的系统函数为: 其线性常系数差分方程为: 用FPGA实现滤波的基本思想就是基于式(2)来实现的。如果知道了系统的输入序列(滤波器的输入),那么,只要根据所给的滤波器的指标,然后通过MATLAB仿真出系数矢量b和a,再采用递推算法求解差分方程,就能求出输出序列(滤波器
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:410624
    • 提供者:weixin_38640674
  1. 单片机与DSP中的基于FPGA的数字滤波器的设计与实现

  2. 在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用到滤波器,数字滤波器是数字信号处理中使用最广泛的一种方法,常用的数字滤波器有无限长单位脉冲响应(IIR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1]。对于应用设计者,由于开发速度和效率的要求很高,短期内不可能全面了解数字滤波器相关的优化技术,需要花费很大的精力才能使设计出的滤波器在速度、资源利用、性能上趋于较优。而采用调试好的IP核需要向Altera公司购买。本文采用了一种基于DSP Builder的FPGA设计方法,以一个低通
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:142336
    • 提供者:weixin_38712279
  1. 单片机与DSP中的基于FPGA的FIR抽取滤波器设计

  2. 摘   要:本文介绍了FIR抽取滤波器的工作原理,重点阐述了用XC2V1000实现FIR抽取滤波器的方法,并给出了仿真波形和设计特点。关键词:FIR抽取滤波器;流水线操作;FPGA   用FPGA实现抽取滤波器比较复杂,主要是因为在FPGA中缺乏实现乘法运算的有效结构,现在,FPGA中集成了硬件乘法器,使FPGA在数字信号处理方面有了长足的进步。本文介绍了一种采用Xilinx公司的XC2V1000实现FIR抽取滤波器的设计方法。 具体实现结构设计  基于抽取滤波器的工作原理,本文采用XC2V
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:71680
    • 提供者:weixin_38556668
  1. 单片机与DSP中的基于XC2V1000型FPGA的FIR抽取滤波器的设计

  2. 摘要:介绍XC2V1000型现场可编程门阵列(FPGA)的主要特性和FIR抽取滤波器的工作原理,重点阐述用XC2V1000实现FIR抽取滤波器的方法,并给出仿真波形和设计特点。 1 引言抽取滤波器广泛应用在数字接收领域,是数字下变频器的核心部分。目前,抽取滤波器的实现方法有3种:单片通用数字滤波器集成电路、DSP和可编程逻辑器件。使用单片通用数字滤波器很方便,但字长和阶数的规格较少,不能完全满足实际需要。使用DSP虽然简单,但程序要顺序执行,执行速度必然慢。现场可编程门阵列(FPGA)有着规整的
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:219136
    • 提供者:weixin_38697659
  1. 单片机与DSP中的基于Verilog HDL的FIR数字滤波器设计与仿真

  2. 摘要:本文主要分析了FIR数字滤波器的基本结构和硬件构成特点,简要介绍了FIR滤波器实现的方式优缺点;结合Altera公司的Stratix系列产品的特点,以一个基于MAC的8阶FIR数字滤波器的设计为例,给出了使用Verilog 硬件描述语言进行数字逻辑设计的过程和方法,并且在QuartusII的集成开发环境下编写HDL代码,进行综合;利用QuartusII内部的仿真器对设计做脉冲响应仿真和验证       关键词:CPLD/FPGA  Verilog HDL  FIR  仿真      
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:197632
    • 提供者:weixin_38752074
« 12 »