您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Xilinx原语的使用方法

  2. Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G比特收发器组件。本文分别对其进行详细介绍。
  3. 所属分类:专业指导

    • 发布日期:2010-01-21
    • 文件大小:2097152
    • 提供者:yg031
  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. Xilinx 原语的使用方法1

  2. Xilinx 原语的使用方法,提供最基本的原语
  3. 所属分类:硬件开发

    • 发布日期:2012-05-30
    • 文件大小:1048576
    • 提供者:heiguang2005
  1. Xilinx公司原语的使用方法

  2. 详细讲述ram初始化的原理、两种方法和步骤
  3. 所属分类:其它

    • 发布日期:2012-12-14
    • 文件大小:54272
    • 提供者:yanghe11234
  1. xilinx原语的使用方法

  2. xilinx原语的使用方法 作为开发时候参考的必备资料
  3. 所属分类:网络基础

    • 发布日期:2015-05-06
    • 文件大小:2097152
    • 提供者:dennishhn
  1. xilinxFPGA之ROM原语的使用

  2. xilinxFPGAROM32*1原语的使用,vivado工程,含有仿真测试文件Testbench,添加地址寄存器,能够按址寻找你所存储的数据,仿真一目了然,对初学者甚好。
  3. 所属分类:硬件开发

    • 发布日期:2016-05-19
    • 文件大小:74752
    • 提供者:u014794312
  1. xilinx原语的使用方法

  2. 长达31页的xilinx原语的使用方法介绍。特别需要 强调的一点是:对原语的全面掌握是 Xilinx FPGA 开发人员的基本要求。
  3. 所属分类:硬件开发

    • 发布日期:2017-04-11
    • 文件大小:2097152
    • 提供者:l18092482025
  1. xilinx原语的使用方法.pdf

  2. xilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdf
  3. 所属分类:硬件开发

    • 发布日期:2017-09-04
    • 文件大小:2097152
    • 提供者:tengjiexx
  1. xilinx 原语的使用方法

  2. 文名字为 Primitive,是 Xilinx 针对其器件特征开发的一系列常用模 块的名字,用户可以将其看成 Xilinx 公司为用户提供的库函数,类似于 C++ 中的“cout”等关键字,是芯片中的基本元件,代表 FPGA 中实际拥有的硬件逻 辑单元,如 LUT,D 触发器,RAM 等,相当于软件中的机器语言。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-24
    • 文件大小:2097152
    • 提供者:qq_31940323
  1. Xilinx原语的使用说明

  2. Xilinx 针对其器件特征开发的一系列常用模 块的名字,用户可以将其看成 Xilinx 公司为用户提供的库函数,类似于 C++ 中的“cout”等关键字,是芯片中的基本元件,代表 FPGA 中实际拥有的硬件逻 辑单元,如 LUT,D 触发器,RAM 等
  3. 所属分类:硬件开发

    • 发布日期:2018-01-24
    • 文件大小:1048576
    • 提供者:qq_31940323
  1. Xilinx 原语的使用方法.rar

  2. 关于Xilinx原语的使用办法,包括 BUFG、BUFR、BUFIO等一些xilinx的 原语
  3. 所属分类:硬件开发

    • 发布日期:2020-01-16
    • 文件大小:3145728
    • 提供者:weixin_42633185
  1. Xilinx原语的使用方法.pdf

  2. pdf带书签,查找方便,讲解清楚明了 ,有关bufg,bufiO,bufr,dsp48,DCM,时钟和IO的buf原语使用。
  3. 所属分类:电信

    • 发布日期:2020-05-31
    • 文件大小:1048576
    • 提供者:dreamfly5945
  1. Verilog生成语句以及Xilinx原语的使用示例

  2. 最近做QDR II SRAM控制器,要用到xilinx的原语IDDR,我的数据输入输出是18位的,又用到Generate将一位输入输出IDDR扩成18位,以前没用过generate,也不太熟悉,现在已熟悉其应用场合及其用法。
  3. 所属分类:其它

    • 发布日期:2020-08-03
    • 文件大小:25600
    • 提供者:weixin_38551749
  1. EDA/PLD中的FPGA全局时钟资源相关原语及使用

  2. FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元(IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。   与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:67584
    • 提供者:weixin_38596093
  1. xilinx原语的使用方法.pdf

  2. 原语是FPGA厂商针对其器件特征开发的一系列常用模块的名称。原语是FPGA芯片中基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等。相当于软件中的机器语言。原语在设计中可以直接例化使用,是最直接的代码输入方式
  3. 所属分类:嵌入式

    • 发布日期:2020-12-03
    • 文件大小:2097152
    • 提供者:zedder
  1. chatter:用于创建交互式聊天机器人的有用原语的集合-源码

  2. 喋喋不休 用于创建交互式聊天机器人的有用原语的集合。 用法 npm install --save chatter 在4.x和6.x中测试。 注意:如果下面的代码示例语法不熟悉,请不用担心,它只是Javascr ipt! 阅读以了解更多信息。 什么是机器人? 出于本文档的目的,机器人是一种自动系统,可以选择使用文本响应来响应文本消息。 机器人可以简单地无状态地响应消息,或者机器人可以做更复杂的事情,例如跟踪与用户正在进行的对话。 最基本的机器人看起来像这样(注意,这是伪代码): // Im
  3. 所属分类:其它

    • 发布日期:2021-02-20
    • 文件大小:73728
    • 提供者:weixin_42168341
  1. rust-djangohashers:Django项目中使用的密码原语的Rust端口-源码

  2. rust-djangohashers:Django项目中使用的密码原语的Rust端口
  3. 所属分类:其它

    • 发布日期:2021-02-02
    • 文件大小:32768
    • 提供者:weixin_42116701
  1. Bitcoin-Cryptography-Library:Nayuki对比特币中使用的加密原语的实现-源码

  2. Nayuki的比特币加密库 该项目实现了比特币系统中使用的加密原语,尤其是椭圆曲线运算和哈希函数。 该代码以C ++和Java的两个独立版本编写。 它包括一个包含一千多个测试向量的测试套件,涵盖了库提供的每个功能。 该库是开源的,由Nayuki从头开始编写。 它的设计考虑了可移植性和清晰度,并经过严格验证,以确保准确性和质量。 有关功能和设计原理的更多详细信息,请参见主网页: : 执照 版权所有:copyright:2019 Project Nayuki。 (麻省理工学院执照) 特此
  3. 所属分类:其它

    • 发布日期:2021-02-01
    • 文件大小:1037312
    • 提供者:weixin_42097557
  1. FPGA全局时钟资源相关原语及使用

  2. FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元(IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,的Virtex II器件多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。   与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:65536
    • 提供者:weixin_38547151
« 12 3 4 5 6 7 8 9 10 ... 26 »