您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. DS1609双端口RAM及其应用

  2. 介绍了DS1609 双端口RAM 的性能特点, 以时序分析的方法, 提出了DS1609 双端口 RAM 与51 系列单片机接口的方案L 在多CPU 微处理系统中应用DS1609 双端口RAM , 由于 其二端口异步操作的特点, 不仅简化了系统程序工作要求, 而且提高了系统运行的可靠性
  3. 所属分类:硬件开发

    • 发布日期:2009-10-09
    • 文件大小:306176
    • 提供者:lknhh
  1. 双端口RAMIDT7132程序

  2. 双端口RAMIDT7132C程序有非常好的实用价值,调试过的
  3. 所属分类:C

    • 发布日期:2010-05-14
    • 文件大小:13312
    • 提供者:nbbwyf
  1. 双端口存储器IDT7008资料

  2. 双端口存储器,IDT7008为64K*8bit。
  3. 所属分类:硬件开发

    • 发布日期:2010-08-16
    • 文件大小:180224
    • 提供者:dreamjack
  1. CY7C028双端口RAM应用实例

  2. CY7C028双端口RAM应用实例 CY7C028双端口RAM应用实例
  3. 所属分类:硬件开发

    • 发布日期:2011-04-04
    • 文件大小:361472
    • 提供者:Augusdi
  1. FPGA标准双端口ram

  2. fpga vhdl一个标准双端口ram,可以作为单端口或者双端口用
  3. 所属分类:其它

    • 发布日期:2011-11-01
    • 文件大小:26624
    • 提供者:youmessi
  1. 亚信双端口网络芯片AX88783 Datasheet

  2. 亚信双端口网络芯片AX88783 Datasheet
  3. 所属分类:硬件开发

    • 发布日期:2012-03-09
    • 文件大小:1048576
    • 提供者:hezhouxueyuan
  1. FPGA的双端口RAM的设计

  2. FPGA的双端口RAM的设计,学习xilinx的FPGA开发的好资料!
  3. 所属分类:硬件开发

    • 发布日期:2012-05-17
    • 文件大小:259072
    • 提供者:ljwx211314
  1. 凯立德端口配置修改多合一工具(修改双端口)

  2. 凯立德端口配置修改多合一工具(修改双端口)
  3. 所属分类:其它

    • 发布日期:2016-06-01
    • 文件大小:295936
    • 提供者:swnt527
  1. 双端口SDRAM程序.docx

  2. 用VHDL语言编写的8*8双端口的SDRAM,利用Quartus II 6.0软件,微机及EDA试验箱完成8*8位双端口的SDRAM程序的编写和上机调试,这里是代码。
  3. 所属分类:专业指导

    • 发布日期:2019-07-02
    • 文件大小:15360
    • 提供者:qq_45350218
  1. 英特尔:registered:PRO/1000 PT双端口服务器网卡

  2. 英特尔PRO/1000 PT双端口服务器网卡是英特尔开发的第五代千兆位以太网网卡,它具有10/100/1000 Mbps自配置特性,因此可与具有多重处理速度的网络设备兼容。所有的英特尔:registered:PRO网络连接均支持英特尔:registered:PRO智能安装,以及面向Microsoft* Device Manager设计的全新英特尔:registered:PROSet实用程序,便于安装和管理。英特尔PROSet实用程序能够简化网卡安装。在该程序的帮助下,您可以通过点击操作,轻松配置
  3. 所属分类:其它

    • 发布日期:2020-07-08
    • 文件大小:343040
    • 提供者:weixin_38727062
  1. 英特尔PRO/1000PF双端口服务器网卡

  2. 英特尔PRO/1000 PF双端口服务器网卡是英特尔第五代网卡,适用于千兆位以太网,具有优良的噪声抗扰性,同时还支持远距离光纤连接。所有的英特尔:registered:PRO网络连接都支持英特尔:registered:PRO智能安装,以及针对Microsoft* Device Manager设计的新型英特:registered:PROSet程序,从而简化了安装与管理流程。英特尔PROSet程序简化了网卡的安装过程。借助该程序,您还可以通过简单的点击,配置和管理所有的英特尔PRO网络连接,以满足您
  3. 所属分类:其它

    • 发布日期:2020-07-08
    • 文件大小:361472
    • 提供者:weixin_38711972
  1. Verilog双端口inout的使用和仿真

  2. 文章给出了双端口inout的实现方法,感兴趣的朋友可以看看。
  3. 所属分类:其它

    • 发布日期:2020-07-29
    • 文件大小:34816
    • 提供者:weixin_38502183
  1. 双端口RAM在ARM 与DSP通信系统中的应用

  2. 通过使用IDT70261 双端口RAM 实现了ARM 与TMS320C6211 DSP 之间的高速实时数据通信,给出了双端口RAM 与TMS320C6211 和ARM 的硬件连接图和ARM 驱动编写细节。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:93184
    • 提供者:weixin_38738983
  1. 双端口RAM的并口设计应用

  2. 文中分析了双端口RAM(DPRAM)的设计方案。并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:81920
    • 提供者:weixin_38708841
  1. 双端口RAM在单片机系统中的应用

  2. 阐述了双端口RAM使用的一种方法,当其应用于双机容错系统时,可简化电路,提高系统的可靠性。本方案经实践证明是有效且实用的。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:144384
    • 提供者:weixin_38591615
  1. 一款基于ADV7612双端口四通道HDMI多路复用接收机电路设计

  2. ADV7612 是一款双端口 Xpressview:trade_mark: 225 MHz HDMI:registered: 接收机,可在两个输入端之间实现快速开关。图1显示了使用两个ADV7612作为四路输入快速开关HDMI接收机的电路。
  3. 所属分类:其它

    • 发布日期:2020-08-28
    • 文件大小:71680
    • 提供者:weixin_38641561
  1. 通信与网络中的双端口RAM在ARM 与DSP通信系统中的应用

  2. 摘 要:通过使用IDT70261 双端口RAM 实现了ARM 与TMS320C6211 DSP 之间的高速实时数据通信,给出了双端口RAM 与TMS320C6211 和ARM 的硬件连接图和ARM 驱动编写细节。   后PC 时代,由于网络技术和集成电路技术的迅速发展,利用嵌入式系统进行数字信号处理与传输成为可能。在ARM 和DSP 构成的双处理器系统中,嵌入式作为主设备,主要完成数据处理、存储与网络传输工作,而DSP 作为从设备需要负责复杂的算法实现。   在此高速数据采集和处理系统中,随
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:139264
    • 提供者:weixin_38535812
  1. 用双端口RAM实现与PCI总线接口数据通讯

  2. 提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时,数据传输速率最高可达133Mbps。实际应用中,可通过PCI总线实现主机与外部设
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:174080
    • 提供者:weixin_38526225
  1. Molex推出iPass系列最新集成双端口26路堆叠式连接器

  2. 面向不断增长的服务器储存市场,Molex推出了其iPass产品系列中的最新成员。对于电路板固定在底盘一侧的系统,新的堆叠式双端口连接器使得I/O带宽翻倍成为可能,而不需对系统机箱重新进行机械设计。   Molex公司的助理产品经理Tiffany Vandervelde表示,"现有的解决方案需要密集地实施,才能达到SAS两倍端口密度。iPass双端口连接器将促使系统实施商满足随着新标准的发展而呈指数增长的I/O带宽要求。"   该双端口连接器的每个端口包括8个差分线对,形成4个通道,每个通道可传输
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:49152
    • 提供者:weixin_38702110
  1. 通信与网络中的用双端口RAM实现与PCI总线接口的数据通讯

  2. 采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。   提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。   随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:175104
    • 提供者:weixin_38621365
« 12 3 4 5 6 7 8 9 10 ... 50 »