您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. DS1609双端口RAM及其应用

  2. 介绍了DS1609 双端口RAM 的性能特点, 以时序分析的方法, 提出了DS1609 双端口 RAM 与51 系列单片机接口的方案L 在多CPU 微处理系统中应用DS1609 双端口RAM , 由于 其二端口异步操作的特点, 不仅简化了系统程序工作要求, 而且提高了系统运行的可靠性
  3. 所属分类:硬件开发

    • 发布日期:2009-10-09
    • 文件大小:306176
    • 提供者:lknhh
  1. 双端口RAMIDT7132程序

  2. 双端口RAMIDT7132C程序有非常好的实用价值,调试过的
  3. 所属分类:C

    • 发布日期:2010-05-14
    • 文件大小:13312
    • 提供者:nbbwyf
  1. 双端口存储器IDT7008资料

  2. 双端口存储器,IDT7008为64K*8bit。
  3. 所属分类:硬件开发

    • 发布日期:2010-08-16
    • 文件大小:180224
    • 提供者:dreamjack
  1. CY7C028双端口RAM应用实例

  2. CY7C028双端口RAM应用实例 CY7C028双端口RAM应用实例
  3. 所属分类:硬件开发

    • 发布日期:2011-04-04
    • 文件大小:361472
    • 提供者:Augusdi
  1. 基于Actel FPGA的双端口RAM设计

  2. 基于Actel FPGA的双端口RAM设计--周立功单片机
  3. 所属分类:其它

    • 发布日期:2011-08-17
    • 文件大小:304128
    • 提供者:fdsarewq
  1. FPGA标准双端口ram

  2. fpga vhdl一个标准双端口ram,可以作为单端口或者双端口用
  3. 所属分类:其它

    • 发布日期:2011-11-01
    • 文件大小:26624
    • 提供者:youmessi
  1. FPGA的双端口RAM的设计

  2. FPGA的双端口RAM的设计,学习xilinx的FPGA开发的好资料!
  3. 所属分类:硬件开发

    • 发布日期:2012-05-17
    • 文件大小:259072
    • 提供者:ljwx211314
  1. 双端口RAM在ARM 与DSP通信系统中的应用

  2. 通过使用IDT70261 双端口RAM 实现了ARM 与TMS320C6211 DSP 之间的高速实时数据通信,给出了双端口RAM 与TMS320C6211 和ARM 的硬件连接图和ARM 驱动编写细节。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:93184
    • 提供者:weixin_38738983
  1. 双端口RAM的并口设计应用

  2. 文中分析了双端口RAM(DPRAM)的设计方案。并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:81920
    • 提供者:weixin_38708841
  1. 双端口RAM在单片机系统中的应用

  2. 阐述了双端口RAM使用的一种方法,当其应用于双机容错系统时,可简化电路,提高系统的可靠性。本方案经实践证明是有效且实用的。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:144384
    • 提供者:weixin_38591615
  1. 通信与网络中的双端口RAM在ARM 与DSP通信系统中的应用

  2. 摘 要:通过使用IDT70261 双端口RAM 实现了ARM 与TMS320C6211 DSP 之间的高速实时数据通信,给出了双端口RAM 与TMS320C6211 和ARM 的硬件连接图和ARM 驱动编写细节。   后PC 时代,由于网络技术和集成电路技术的迅速发展,利用嵌入式系统进行数字信号处理与传输成为可能。在ARM 和DSP 构成的双处理器系统中,嵌入式作为主设备,主要完成数据处理、存储与网络传输工作,而DSP 作为从设备需要负责复杂的算法实现。   在此高速数据采集和处理系统中,随
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:139264
    • 提供者:weixin_38535812
  1. 用双端口RAM实现与PCI总线接口数据通讯

  2. 提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时,数据传输速率最高可达133Mbps。实际应用中,可通过PCI总线实现主机与外部设
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:174080
    • 提供者:weixin_38526225
  1. 通信与网络中的用双端口RAM实现与PCI总线接口的数据通讯

  2. 采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。   提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。   随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:175104
    • 提供者:weixin_38621365
  1. 嵌入式系统/ARM技术中的双端口RAM的并口设计应用

  2. 摘要:IDT7132/7142 是一种高速2k×8双端口静态RAM,它拥有两套完全独立的数据、地址和读写控制线。文中分析了双端口RAM(DPRAM)的设计方案。并以 IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。   数据获取及交换是多CPU系统的重要组成部分。在这类系统中,数据交换要求的通讯速率往往很高,平均速率一般在10k左右,有时甚至达100k以上。传统的并行接口和串行接口设计无论在通信速率,还是在可靠性方面都不易满足要求。而双端口
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:180224
    • 提供者:weixin_38688145
  1. VB环境下对双端口RAM物理读写的实现

  2. 双端口RAM 内存直接映象 高速并行传输 DLL动态链接在集散型控制系统中,一般将计算机或工控机用于终端图文显示,数据采集处理以及机对话接口等方面。计算机或工控机与外部设备需要建立数据传输的通讯联系。但大量数据传输,靠通常的串行通讯方式进行,必须占用CPU大量的时间进行通讯。一些控制系统往往因为传输速率慢而无法实现实时控制,不能满足系统采集和控制需要。上述问题在我们设计的汽车综合检测系统中,得到了较好的解决。系统中采用双端口RAM技术设计了一块PC总线接口的智能型高速并行通讯卡(以下简称通讯卡)
  3. 所属分类:其它

    • 发布日期:2020-11-30
    • 文件大小:80896
    • 提供者:weixin_38577922
  1. 双端口RAM的VHDL实现

  2. 这是关于双端口RAM的VHDL程序代码, nut_tpram --rtl 这是源代码 --sim 这是modelsim仿真目录
  3. 所属分类:专业指导

    • 发布日期:2021-03-05
    • 文件大小:63488
    • 提供者:ngany
  1. 伪双端口RAM的VHDL实现

  2. 这是关于伪双端口RAM的VHDL程序代码, nut_tpram --rtl 这是源代码 --sim 这是modelsim仿真目录
  3. 所属分类:专业指导

    • 发布日期:2021-03-05
    • 文件大小:2048
    • 提供者:ngany
  1. 双端口RAM在单片机系统中的应用

  2. 摘 要阐述了双端口RAM使用的一种方法,当其应用于双机容错系统时,可简化电路,提高系统的可靠性。本方案经实践证明是有效且实用的。 关键词 双端口RAM 双机容错 切换系统  硬件冗余1引言   在对产品可靠性要求高的系统中,往往需要硬件冗余。有些设备不仅要求其在各种恶劣的天气下工作,而且要求长期不间断工作。为提高可靠性往往采用双CPU系统。平时主单片机系统工作,并将所处理的数据存储在外存,一旦主CPU系统出现故障,副CPU可切换上来,并利用公共外存的数据继续工作,而不需要人工干预。这时双端口RA
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:129024
    • 提供者:weixin_38556189
  1. 双端口RAM的并口设计应用

  2. 摘要:IDT7132/7142是一种高速2k×8双端口静态RAM,它拥有两套完全独立的数据、地址和读写控制线。文中分析了双端口RAM(DPRAM)的设计方案。并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。  关键词:微处理器双端口RAMIDT7132/7142数据获取及交换是多CPU系统的重要组成部分。在这类系统中,数据交换要求的通讯速率往往很高,平均速率一般在10k左右,有时甚至达100k以上。传统的并行接口和串行接口设计无论在通信速
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:144384
    • 提供者:weixin_38659527
  1. 双端口RAM在ARM 与DSP通信系统中的应用

  2. 摘 要:通过使用IDT70261 双端口RAM 实现了ARM 与TMS320C6211 DSP 之间的高速实时数据通信,给出了双端口RAM 与TMS320C6211 和ARM 的硬件连接图和ARM 驱动编写细节。   后PC 时代,由于网络技术和集成电路技术的迅速发展,利用嵌入式系统进行数字信号处理与传输成为可能。在ARM 和DSP 构成的双处理器系统中,嵌入式作为主设备,主要完成数据处理、存储与网络传输工作,而DSP 作为从设备需要负责复杂的算法实现。   在此高速数据采集和处理系统中,随
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:152576
    • 提供者:weixin_38723810
« 12 3 4 5 6 7 8 9 10 »