您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的可控脉冲发生器

  2. eda设计,可控脉冲发生器设计,基于VHDL可控脉冲发生器的设计
  3. 所属分类:网络基础

    • 发布日期:2013-12-15
    • 文件大小:94208
    • 提供者:u013162589
  1. EDA实现可控脉冲发生器

  2. 此为EDA可控脉冲发生器实现程序,经过编译可通过实验板展现出出可控脉冲的效果
  3. 所属分类:嵌入式

    • 发布日期:2014-05-12
    • 文件大小:2048
    • 提供者:u012635863
  1. 用VHDL设计可控脉冲发生器

  2. eda中课件关于可控脉冲发生器的设计
  3. 所属分类:专业指导

    • 发布日期:2015-05-20
    • 文件大小:3145728
    • 提供者:qq_28341919
  1. EDA课程设计-可控脉冲发生器的设计

  2. 设计一个可控的脉冲发生器,要求输出的脉冲波的周期和占空比都可变。具体的实验过程中,然后再用按键模块的S1 和S2 来控制脉冲波的周期,每按下S1,N 会在慢速时钟作用下不断地递增1,按下S2,N 会在慢速时钟作用下不断地递减1;用S3 和S4 来控制脉冲波的占空比,每按下S3,M 会在慢速时钟作用下不断地递增1,每按下S4,M 会在慢速时钟作用下不断地递减1,S8 用作复位信号,当按下S8 时,复位FPGA内部的脉冲发生器模块。脉冲波的输出直接输出到实验箱观测模块的探针,以便用示波器观察输出波
  3. 所属分类:嵌入式

    • 发布日期:2017-03-23
    • 文件大小:5242880
    • 提供者:graduate_2017
  1. 基于布里渊放大实现大能量可控平顶脉冲方法的研究

  2. 基于布里渊放大实现大能量可控平顶脉冲方法的研究,王雨雷,赵朋华,本文首次采用布里渊放大的方法实现了平顶激光脉冲输出,理论上进行了模拟,并在实验上获得了验证。选用FC-40作为非线性介质,激光�
  3. 所属分类:其它

    • 发布日期:2020-03-14
    • 文件大小:268288
    • 提供者:weixin_38609453
  1. 高频脉冲能量可控式焊接电源的研究

  2. 高频脉冲能量可控式焊接电源的研究,陈铁,韩明武,本文给出一种基于双端反激式主电路拓扑和SG3525 PWM控制芯片,研制了一台高频脉冲能量可控式焊接电源,该电源通过对输出电流的脉宽�
  3. 所属分类:其它

    • 发布日期:2020-01-19
    • 文件大小:288768
    • 提供者:weixin_38588854
  1. 基于VHDL语言的可控脉冲发生器.docx

  2. eda课设
  3. 所属分类:讲义

    • 发布日期:2020-01-04
    • 文件大小:20480
    • 提供者:Sataeaaad
  1. 可调脉冲电源的设计报告

  2. 一、任务 设计并制作一台可控脉冲电源 二、要求 1、基本要求 (1)缓启动0-3分钟可设定,斜坡启动。 (2)输入:220VAC±10%,50Hz,输出矩形脉冲波形,输出:DC0-60V,0-2A 波形如图所示 0‐20min 0.1‐9S 0.1‐9S V2 V1 其中:V1在0-60V、V2在0-50V内可任意设定;高电平维持时间0.1-9S、低电平维持时间0.1-9S可任意设定。 (3)电压精度:≤±10%,纹波电压:△VP-P≤1% (4)具有电压、电流显示功能 (5)具有漏电、过载保护
  3. 所属分类:其它

    • 发布日期:2010-06-21
    • 文件大小:629760
    • 提供者:zhang905630577
  1. 基于ATMEL89S52单片机的三相桥式可控触发电路的设计

  2. 本文提出了一种基于ATMEL89S52 单片机的三相桥式可控触发电路的设计方法,主要包括三相桥式可控整流电路、同步信号的检测、脉冲的形成与放大以及软件实现等内容。这种方法利用了电压传感器来检测同步信号,取代了以往利用同步变压器、锁相环等方法实现同步信号的检测的方法,所用的硬件电路较为简单,精度较高。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:305152
    • 提供者:weixin_38502639
  1. STM32F103 TIM1+ETR输入+四通道单脉冲输出+脉冲周期、有效电平时间分别可控

  2. STM32F103 TIM1+ETR输入+四通道单脉冲输出+脉冲周期、有效电平时间分别可控
  3. 所属分类:C

    • 发布日期:2020-09-15
    • 文件大小:1024
    • 提供者:u014635574
  1. 延时可控高压脉冲发生器的设计

  2. 将数字延时及高压脉冲形成电路结合在一起构成高精度的高压脉冲发生器,用于触发Marx发生器及高压脉冲触发装置,也适用于高压雷管起爆装置。以CPU8031为控制核心,采用VE4137A型高电压、大电流、低抖动、快速氢闸流管构成高压脉冲形成级,MOSFET作为驱动级。延时可控,延时范围为10ns至99μs,连续可调,数显;高压脉冲幅度为5~30kV,前沿小于16ns,脉宽大于300ns,抖动小于10ns。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:111616
    • 提供者:weixin_38677505
  1. 基于DDS技术三相功率可控PWM信号的FPGA实现

  2. 本文利用FPGA和DDS技术实现了高精度、高分辨率的三相PWM脉冲信号,并通过AGC程控放大技术实现对PWM信号的功率可控。本设计具有控制灵活,输出频率稳定和范围宽等优点,具有广阔的应用价值。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:135168
    • 提供者:weixin_38606897
  1. 单片机与DSP中的基于ATMEL89S52单片机的三相桥式可控触发电路的设计

  2. 摘要: 本文提出了一种基于ATMEL89S52 单片机的三相桥式可控触发电路的设计方法,主要包括三相桥式可控整流电路、同步信号的检测、脉冲的形成与放大以及软件实现等内容。这种方法利用了电压传感器来检测同步信号,取代了以往利用同步变压器、锁相环等方法实现同步信号的检测的方法,所用的硬件电路较为简单,精度较高。   1 引言   晶闸管作为一种半控性功率半导体器件,其基本功能是对电压进行整流、调压和斩波等进行控制,以满足实际需求。目前,晶闸管整流器装置已在工农业生产中得到了广泛的应用,特别是在直
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:272384
    • 提供者:weixin_38663415
  1. 基于ATMEL89S52单片机的三相桥式可控触发电路的设计

  2. 一种基于ATMEL89S52单片机的三相桥式可控触发电路的设计方法,主要包括三相桥式可控整流电路、同步信号的检测、脉冲的形成与放大以及软件实现等内容。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:294912
    • 提供者:weixin_38539018
  1. 基于CPLD的多路可控脉冲发生器设计

  2. 针对伺服电机控制系统中的脉冲发送需求问题,提出了一种利用DDS技术,以单片机和CPLD为硬件基础的脉冲输出频率、个数可控的脉冲发生器设计方案。利用Quartus II软件进行了波形仿真并分析了结果。研究结果表明,采用该方案实现的脉冲发生器具有体积小、成本低和可靠性高等特点,而且该脉冲发生器控制简单,输出脉冲频率控制精度高,满足了伺服电机控制系统中的脉冲发送需求。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:291840
    • 提供者:weixin_38743054
  1. EDA/PLD中的FPGA的多路可控脉冲延迟系统

  2. 摘要 采用数字方法和模拟方法设计了一种最大分辨率为0.15 ns级的多路脉冲延迟系统,可以实现对连续脉冲信号的高分辨率可控延迟;采用Flash FPGA克服了现有SRAM FPGA系统掉电后程序丢失的缺点,提高了系统反应速度。本系统适用于需要将输入脉冲信号进行精确延迟来产生测试或控制用的连续脉冲信号场合,具有很强的适用性。   在科学研究、通信和一些自动控制中,经常需要精确定时的连续脉冲信号,用于产生测试信号或控制用的时序。脉冲延迟的基本方法可分为数字方法和模拟方法。数字方法采用计数器或存储器
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:148480
    • 提供者:weixin_38708945
  1. 元器件应用中的可控饱和电感

  2. 当采用交、直流同时励磁时,磁自饱和电感的磁状态在一个周期内按局部磁滞回线变化,改变直流偏磁分量,可以改变磁心的等效磁导率和等效电感量L,这是磁放大器的工作基础。磁心所受励磁为正向方波序列脉冲时,相当于直流和正负交变方波励磁的叠加,也属于交、直流同时励磁的情况。这是现代高频可控饱和电感(Controlled Saturable inductor)的工作基础,在开关电源磁调节器中得到了应用,如图1(a)所示。图中饱和电感S1串接在正激开关电源的次级,一个周期内磁心磁状态的变化如图1(b)所示,图1(
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:78848
    • 提供者:weixin_38705004
  1. 阻带可控陷波超宽带天线的设计与时域分析

  2. 针对传统陷波结构只能在单个频点上实现陷波的局限性,文中通过使用开路槽线方法,提出和实现了一种阻带带宽可控且矩形度良好的微带馈电陷波超宽带天线.通过在超宽带天线U形辐射贴片上添加两个L形开路槽线和在馈线端添加一个U形槽线来实现陷波特性,通过调节两个L形开路槽线与U形辐射贴片的耦合间距来控制陷波阻带的带宽.除了阻带5.1~5.8GHz频段之外,该天线在3.1~10.6GHz超宽带频段内获得了很好的宽带阻抗匹配.文中还对该陷波超宽带天线进行了时域分析,计算了天线相关系数和脉冲宽度拉伸比.测量与仿真结果
  3. 所属分类:其它

    • 发布日期:2021-03-09
    • 文件大小:544768
    • 提供者:weixin_38728624
  1. 以负反馈为基础的参数可控超短脉冲激光器

  2. 以负反馈为基础的参数可控超短脉冲激光器
  3. 所属分类:其它

    • 发布日期:2021-03-05
    • 文件大小:818176
    • 提供者:weixin_38610277
  1. FPGA的多路可控脉冲延迟系统

  2. 摘要 采用数字方法和模拟方法设计了一种分辨率为0.15 ns级的多路脉冲延迟系统,可以实现对连续脉冲信号的高分辨率可控延迟;采用Flash FPGA克服了现有SRAM FPGA系统掉电后程序丢失的缺点,提高了系统反应速度。本系统适用于需要将输入脉冲信号进行延迟来产生测试或控制用的连续脉冲信号场合,具有很强的适用性。   在科学研究、通信和一些自动控制中,经常需要定时的连续脉冲信号,用于产生测试信号或控制用的时序。脉冲延迟的基本方法可分为数字方法和模拟方法。数字方法采用计数器或存储器实现延迟控制
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:183296
    • 提供者:weixin_38727453
« 12 3 4 5 6 7 8 9 10 ... 26 »