您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于dsp的任意信号发生器的设计与实现

  2. 这是一篇完整的毕业设计论文且功能全部实现,并带有源程序。 该信号发生器主要由TMS320C5410和TLC320AD50C两大部分组成。在DSP芯片上完成对波形的编程,通过多通道缓冲串口向TLC320AD50C(数模转换器)发送波形数据,通过TLC320AD50C的插值滤波等措施产生模拟波形输出。 该信号发生器的硬件设计中TMS3205410和TLC320AD50C的连接采用SPI协议,TLC320AD50C作为SPI主器件,提供帧同步和时钟信号,多通道缓冲串口作为SPI从器件。 该信号发生器
  3. 所属分类:C

    • 发布日期:2009-10-13
    • 文件大小:11534336
    • 提供者:xxs20581082
  1. 基于FPGA的DDS信号发生器

  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根
  3. 所属分类:硬件开发

    • 发布日期:2010-05-30
    • 文件大小:33792
    • 提供者:CJYuan
  1. 基于FPGA任意波形发生器

  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根
  3. 所属分类:硬件开发

    • 发布日期:2010-05-30
    • 文件大小:47104
    • 提供者:CJYuan
  1. 高密度在可编程系统技术及应用 智能函数发生器

  2. 函数发生器在测量中作为信号源的应用是非常广泛的,要得到一个频率稳定的正弦波,矩形波和锯齿波的方法很多。这次设计的函数发生器可以产生递增斜波,方波,三角波以及斜梯波,并可以通过开关选择输出的波形。
  3. 所属分类:其它

    • 发布日期:2011-12-16
    • 文件大小:375808
    • 提供者:haihaizyj
  1. 2012年微机原理课程设计题目

  2. 1. 求 N! 。 要求:从键盘接收一个数字,计算其阶乘,并显示出来。 2. 求 N-M 连续数据的和,并将和显示出来。 3. 3.根据键盘输入的一个数字显示相应的数据螺旋方阵。如输入 4,则显示。 1 2 3 4 12 13 14 5 11 16 15 6 10 9 8 7 共需要显示 4^2=16 个数字。 要求:1.根据键盘输入的数字(3-20) ,显示相应的数据方阵。 2.画出设计思路流程图,编写相应程序。 4. 4. 在屏幕上开一个窗口显示自已的名字(汉字) 。 5. 5.通过键盘输
  3. 所属分类:专业指导

    • 发布日期:2012-10-15
    • 文件大小:174080
    • 提供者:ljn245849694
  1. 可编程的矩形波波信号发生器

  2. 可编程的矩形波波发生器是可以产生用(逻辑 1)和(逻辑 0)表示的矩形波。指定的高电平时间和低电平持续时间由两个 4 比特的 无符号整数控制信号 m 和 n 指定。打开和关闭的时间间隔是 m * 100 ns 和 n * 100 ns。 其中 m 和 n 由外部硬件的键盘输入 设计出程序并仿真
  3. 所属分类:硬件开发

    • 发布日期:2020-06-21
    • 文件大小:194560
    • 提供者:qq_36045093
  1. EDA/PLD中的基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:285696
    • 提供者:weixin_38659527
  1. 基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:273408
    • 提供者:weixin_38692100