您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. proteus仿真 AT89C51输出各种波形

  2. 利用proteus仿真的 各种波形 对刚学习单片机的各位同很有用哦 大家积极下载吧
  3. 所属分类:嵌入式

    • 发布日期:2009-11-10
    • 文件大小:37888
    • 提供者:hjt3313555
  1. 他励直流电动机启动的MATLAB仿真

  2. 根据他励直流电动机启动的特点,在matlab的simulink环境下建立了模型,对直接启动,降压启动,串电阻启动三种情况下进行了仿真,得出了各种的电流、转速和转矩的波形图。
  3. 所属分类:嵌入式

  1. 多功能波形发生器VHDL程序与仿真

  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-01
    • 文件大小:62464
    • 提供者:atidashi
  1. 基于MATLAB的PSK调制和解调及仿真

  2. 摘要 Psk调制是通信系统中最为重要的环节之一,Psk调制技术的改进也是通信系统性能提高的重要途径。本文首先分析了数字调制系统的基本调制解调方法,然后,运用Matlab及附带的图形仿真工具 ——Simulink设计了这几种数字调制方法的仿真模型。通过仿真,观察了调制解调过程中各环节时域和频域的波形,并结合这几种调制方法的调制原理,跟踪分析了各个环节对调制性能的影响及仿真模型的可靠性。最后,在仿真的基础上分析比较了各种调制方法的性能,并通过比较仿真模型与理论计算的性能,证明了仿真模型的可行性。另
  3. 所属分类:其它

    • 发布日期:2011-05-20
    • 文件大小:694272
    • 提供者:a719068186
  1. LCD12864上显示波形.rar

  2. LCD12864显示各种波形,控制器为51单片机 有仿真源文件和C51的代码 我试过了,全部是正确的
  3. 所属分类:C

    • 发布日期:2012-04-13
    • 文件大小:124928
    • 提供者:tpengti
  1. 基于Visual C++6.0通信信号频谱监测仿真系统的设计与实现

  2. 根据无线电通信频谱监测系统原理进行建模,形成了各种常用信号音频和视频模型。为了显示信号时域和频域波形设计了基于Formview类的显示控制程序。在显示控制程序的控制下实现了依据建立的信号模型对各种通信信号的仿真,显示了模拟器的效果图。
  3. 所属分类:C++

    • 发布日期:2012-08-03
    • 文件大小:452608
    • 提供者:wlf4186
  1. 智能函数发生器的VHDL 设计与仿真

  2. 以函数信号发生器的功能为设计对象, 运用 EDA 技术的设计方法, 进行各种波形的输入设计、设计处理, 项目校验和器件编程
  3. 所属分类:其它

    • 发布日期:2012-09-28
    • 文件大小:61440
    • 提供者:qqram
  1. EWB仿真软件

  2. EWB软件,全称为ELECTRONICS WORKBENCH EDA,是交互图像技术有限公司在九十年代初推出的EDA软件,用于模拟电路和数字电路的混合仿真,利用它可以直接从屏幕上看到各种电路的输出波形。EWB是一款小巧,但是仿真功能十分强大的软件。
  3. 所属分类:硬件开发

    • 发布日期:2012-10-22
    • 文件大小:7340032
    • 提供者:jlkingwind
  1. Electronic Workbench 电子电路仿真软件

  2. Electronic Workbench 电子电路仿真软件,可以进行各种电路工作演示,可模拟各种电子电路,可以缩放显示的波形,可仿真数字电路、模拟(线性)电路及数字电路与模拟(线性)混合电路的工作点,如:波形、频率、周期、有效值等。你不用购买昂贵的全套电子仪器,这里有:函数发生器、频谱仪、示波器、数字万用表…,只要先画好电路,连好电路与仪器的接线,设置好各仪器的参数,设好电源电压,接通电源即可。
  3. 所属分类:硬件开发

    • 发布日期:2013-04-30
    • 文件大小:13631488
    • 提供者:u010517277
  1. 多功能波形发生器VHDL程序与仿真

  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出
  3. 所属分类:嵌入式

    • 发布日期:2008-10-16
    • 文件大小:62464
    • 提供者:yuyan_0110
  1. multisim仿真10-10KHZ函数信号发生器

  2. 采用集成运放和分立元件相结合的方式,利用迟滞比较器电路产生方波信号,以及充分利用差分电路进行电路转换,从而设计出一个能变换出三角波、正弦波、方波的简易信号发生器。通过对电路分析,确定了元件的参数,并利用 Multisim 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。该设计可产生低于 10kHz 的各种波形的输出。
  3. 所属分类:数据库

    • 发布日期:2017-11-30
    • 文件大小:2097152
    • 提供者:shensen0304
  1. ewb 5.0仿真软件

  2. 各种基本电路的仿真 EWB是Electronics Workbench的缩写,称为电子工作平台,是一种在电子技术界广为应 用的优秀计算机仿真设计软件,被誉为"计算机里的电子实验室"。 其特点是图形界面操作, 易学、易用,快捷、方便,真实、准确,使用EWB可实现大部分硬件电路实验的功能。 电子工作平台的设计试验工作区好像一块"面包板",在上面可建立各种电路进行仿真实 验。电子工作平台的器件库可为用户提供350多种常用模拟和数字器件, 设计和试验时可任 意调用。虚拟器件在仿真时可设定为理想模式和实
  3. 所属分类:嵌入式

    • 发布日期:2009-03-22
    • 文件大小:9437184
    • 提供者:hasodron
  1. SAR MATLAB

  2. SAR 各种波形仿真MATLAB源码,而且会自动变化给人以直观感受。
  3. 所属分类:其它

    • 发布日期:2018-03-22
    • 文件大小:368640
    • 提供者:yang_215
  1. 横河AQ7932 OTDR仿真分析软件

  2. AQ7932是一个应用软件,通过它可以在PC机上分析OTDR测量得到的波形数据并生成报告。使用内置的报告生成向导功能,可以轻松做成报告。 一屏最多可以显示8个波形。可以对波形执行各种分析,通过多波形分析和差分波形分析,可以比较新旧波形。通过2波形分析功能,可以得到光纤两端测量数据的平均值。
  3. 所属分类:电信

    • 发布日期:2018-07-10
    • 文件大小:27262976
    • 提供者:weixin_42661894
  1. 桥式全控整流

  2. 文章主要介绍利用MATLAB对单相桥式全控整流电路研究,详细介绍桥式全控整流的原理。接着通过MATLAB仿真,实现不同负载下,各种触发角的情况下的波形仿真。
  3. 所属分类:专业指导

    • 发布日期:2018-07-29
    • 文件大小:694272
    • 提供者:cnmfixojwa
  1. 基于EMTP的煤矿供电系统故障仿真设计

  2. 采用电力仿真软件EMTP构建3.3 kV供电系统仿真平台,对工程示范点大同煤业集团塔山煤矿综采工作面3.3 kV供电系统进行仿真设计,模拟现场各种故障状态。仿真结果表明,仿真波形和理论分析完全一致,为供电系统的保护设计提供了参考。
  3. 所属分类:其它

    • 发布日期:2020-05-16
    • 文件大小:234496
    • 提供者:weixin_38715772
  1. 基于AD9958多波形雷达信号源软硬件的设计_詹俊鹏.pdf

  2. 介绍了 ADI 公司新推出的双通道带 10 位 D/A 转换器主频达 500 MHz 的 DDS 芯片 AD9958 的主要性能,并根据不同波形种类的雷达信号表达式,简述了 AD9958 用作直接频率合成器时,各种情况控制字的计算方法,给出了利用 DSP +FPGA+AD9958 实现雷达中频信号源的设计框图,对其中主要的 FPGA 时序控制进行 QuartusII 仿真。 该设计可以灵活产生包括常规脉冲、线性调频、相位编码以及混合编码在内的多波形雷达中频信号。
  3. 所属分类:专业指导

    • 发布日期:2020-03-31
    • 文件大小:358400
    • 提供者:clidan
  1. 入门推荐:simulink电路仿真介绍.pdf

  2. 入门推荐:simulink电路仿真介绍pdf,入门推荐:simulink电路仿真介绍(3)输入输出信号来源形式的多样化。其输入信号可以是 各种信号发生器;也可以来自一个设定的记录文件;还可以 来自 MATLAB的工作空间( workspace).输出信号也类似 这就扩大了仿真系统与各种外部软件和硬件的接口能力。 Simulink工具箱中含有大量的仿真模块集,例如 Power System Blockset (PSB),DSP Blockset Communication Blockset CDM
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:3145728
    • 提供者:weixin_38744375
  1. Multisim在触发器工作波形分析中的应用

  2. 介绍用Multisim仿真软件进行触发器工作波形仿真分析的方法,目的是探索触发器工作波形的仿真实验技术,即用Multisim仿真软件中的字组产生器产生触发器的时钟脉冲、数据输入、异步控制等多路信号,用Multisim中逻辑分析仪多踪同步显示触发器的各种输入及状态输出波形。并介绍了几种典型触发方式,不同逻辑功能触发器工作波形仿真分析时Multisim中字组产生器的设置方法。该软件可直观形象地描述触发器的逻辑功能和状态变化特点。所述方法的创新点是解决了触发器工作波形无法用电子实验仪器进行分析验证的问
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:281600
    • 提供者:weixin_38531210
  1. 基于51单片机的低频信号发生器的设计与仿真

  2. 基于改善传统正弦信号源价格昂贵,低频输出时性能不好且不便于自动调节的目的。采用AT89C51单片机,结合编程和软件查表的方法读取经离散化处理的波形信号。通过D/A把信号转化并还原所需的波形信号。进行了proteus计算机软件仿真,得到了与理论相应的锯齿波、方波、正弦波信号并实现了各种波形的自由切换以及频率、相位的改变和多相波的产生。
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:609280
    • 提供者:weixin_38663151
« 12 3 4 5 6 7 8 9 10 »