点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 四位二进制
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
四位二进制加法器和乘法器
组成原理 课程设计报告 四位二进制加法器 乘法器
所属分类:
专业指导
发布日期:2009-06-19
文件大小:263168
提供者:
yykable
学会VHDL电子设计流程 4位乘法器的设计
一、实训目的 1学会LOOP语句的使用 2熟悉库及程序包的内容 二、实训原理 四位二进制乘法采用移位相加的方法。即用乘数的各位数码,从高位开始依次与被乘数相乘,每相乘一次得到的积称为部分积,将第一次得到的部分积左移一位并与第二次得到的部分积相加,将加得的和左移一位再与第三次得到的部分积相加,再将相加的结果左移一位与第四次得到的部分积相加,直到所的部分积都被加过一次
所属分类:
专业指导
发布日期:2009-11-03
文件大小:22528
提供者:
zhangyuegen
芯片介绍 74ls00 2输入四与非门、 74ls04 74ls08 74ls20 74ls32 74ls74 74ls90 74ls112 74ls138 74ls153 74ls161
74ls00 2输入四与非门 74ls02 2输入四或非门 74ls04 六倒相器 74ls08 2输入四与门 74ls20 4输入双与非门 74ls32 2输入四或门 74ls74 正沿触发双d型触发器(带预置端和清除端) 74ls90 十进制计数器 74ls112 负沿触发双j-k触发器(带预置端和清除端) 74ls138 3-8线译码器/多路转换器 74ls153 双4选1数据选择器/多路选择器 74ls161 可预置四位二进制计数器(并清除异步)
所属分类:
嵌入式
发布日期:2010-06-11
文件大小:1048576
提供者:
wangtn324
四位二进制计数器译码程序
library IEEE; use IEEE.std_logic_1164.all; entity sysegd is port (x : in std_logic_vector(3 downto 0); s : out std_logic_vector (6 downto 0)); end entity; architecture bin27seg_arch of sysegd is begin process(x) begin case x(3 downto 0) is when "000
所属分类:
C
发布日期:2010-06-20
文件大小:18432
提供者:
WEINIXIONGWEINIXIONG
四位二进制ALU运算器
数字系统综合设计,实现四位二进制数逻辑运算和算术运算!
所属分类:
专业指导
发布日期:2010-07-18
文件大小:262144
提供者:
daimengqing2009
四位二进制流水闪烁灯控制
流水灯间隔2秒,并以0.2S的频率闪烁隔用两个按键控制流水灯的启停
所属分类:
硬件开发
发布日期:2010-11-04
文件大小:1024
提供者:
KBmajun8934
数字电路-四位二进制乘法器课程设计
数字电路-四位二进制乘法器课程设计报告完整版!!可以直接用的。
所属分类:
专业指导
发布日期:2011-01-04
文件大小:287744
提供者:
sxflyaway
74ls161 逻辑功能
同步四位二进制计数器74LS161 74LS161的逻辑功能
所属分类:
专业指导
发布日期:2012-04-04
文件大小:351232
提供者:
wayne24
四位二进制减法计数器
四位二进制减法计数器 电子计数器电路仿真
所属分类:
教育
发布日期:2013-12-25
文件大小:155648
提供者:
u011915226
数电课程设计-四位二进制减法计数器
数字电子技术课程设计。数电课程设计-四位二进制减法计数器目录:一.课程设目的 1 二.课设题目实现框图 1 2 三.实现过程 1 3 1.VHDL 1 13 1.1建立工程 1 13 1.2VHDL源程序 6 3 1.3编译及仿真过程 8 3 1.4引脚锁定及下载 11 3 1.5仿真结果分析 11 3 2.电路设计 12 5 2.1设计原理 12 3 2.2基于Multisim的设计电路图 14 3 2.3逻辑分析仪显示的波形 15 3 2.4仿结果分析 15 3 四.设计体会 16 9 五
所属分类:
软件测试
发布日期:2014-01-07
文件大小:1034240
提供者:
u010321869
四位二进制加法计数器
给各位同学应急用。
所属分类:
数据库
发布日期:2014-07-01
文件大小:2097152
提供者:
qq_17099733
用SSI设计的二进制四位串行加法器
SSI设计的二进制四位串行加法器 这是我做的数字逻辑课程设计,希望能供大家学习指教!
所属分类:
专业指导
发布日期:2008-10-31
文件大小:142336
提供者:
huawuque656367
四位二进制乘法器(eda实验)
使用vhdl实现四位二进制数值的相乘 vhd文件可以使用文本文档打开
所属分类:
其它
发布日期:2008-11-24
文件大小:625
提供者:
jijimu
四位二进制减法计数器正式.doc
1、了解数字系统设计方法 2、熟悉VHDL语言及其仿真环境、下载方法 3、熟悉Multisim环境 4、设计实现四位二进制减法计数器(缺0000 0001 0010) 工作计划与进度安排: 第一周 熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件描述语言设计,体会自上而 下、自下而上设计方法的优缺点。 第二周 在QuartusⅡ环境中用VHDL语言实现四位二进制减法器(缺0000 0001 0010),在仿真器上显示结果波形,并下载到目标芯
所属分类:
硬件开发
发布日期:2019-08-21
文件大小:1048576
提供者:
yadigongchengshi
四位全加器的modelisim实现.docx
基于modelsim编写了一个四位二进制全加器的实现代码,适合初学者,附有仿真结果,程序作者编写,测试通过。
所属分类:
嵌入式
发布日期:2020-02-07
文件大小:364544
提供者:
xiaonainai1
四位二进制乘法器
所属分类:
讲义
发布日期:2017-05-12
文件大小:229376
提供者:
qq_38760744
汇编课程设计大作业--输入两个四位十六进制数颜色交替变化
汇编课程设计大作业 (1)从键盘输入两个四位十六进制数。 (2)将这两个数以二进制形式输出,要求输出的0和1颜色交替变化。 (3)找出这两个数中的偶数,若有则以十进制输出,若无,输出“NO”。 (4)计算这两个数的平方和。 (5)数据的输入和结果的输出都要有必要的提示,且提示独占一行。 (6)要使用到子程序。
所属分类:
专业指导
发布日期:2020-05-27
文件大小:3072
提供者:
weixin_45330449
multisim 实现四位二进制密码锁功能密码锁.rar
1、在锁的控制电路中储存一个可修改的四位二进制代码作为密码,当输入代码与锁的密码相等时,进入开锁状态使锁打开。开锁状态时绿灯亮。 2、从第一个按键触动后的5秒内未将锁打开,则电路进入自锁状态,使之无法再打开,并由扬声器发出持续10秒的报警信号。自锁状态时红灯亮。
所属分类:
讲义
发布日期:2020-07-22
文件大小:1048576
提供者:
weixin_45769893
74LS393 双四位二进制计数器.pdf
74LS393 双四位二进制计数器.pdf
所属分类:
硬件开发
发布日期:2020-12-25
文件大小:914432
提供者:
qq_39734641
迭代法只利用一个一位全加器完成四位二进制加法
迭代法只利用一个一位全加器完成四位二进制加法
所属分类:
其它
发布日期:2020-12-24
文件大小:698368
提供者:
weixin_48666078
«
1
2
3
4
5
6
7
8
9
10
...
25
»