您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 简易数控直流电源——西南交大暑期实习报告

  2. 西南交大暑期实习报告——简易数控直流电源设计,很详细 很完整 包含程序工程 本课程设计主要使用集成555定时器、CPLD器件isp1016E、运算放大器LM324、稳压器等器件,运用数模混合电路及可编程器件制作输出电压范围为0~9.9V、步进0.1V的两位数码管显示的可控数字直流电源。 本设计包括以下四部分: 1 时钟部分:为CPLD部分的可逆计数器提供时钟脉冲。 2 电源部分:为设计中各个芯片等电路中各个部分提供电源。 3 CPLD部分:包括核心控制部分、BCD转二进制和BCD转7段译码显示
  3. 所属分类:嵌入式

    • 发布日期:2009-07-11
    • 文件大小:1048576
    • 提供者:shupl1988
  1. 微机原理与接口技术试题和答案(共ABC三套)

  2. 试卷编号: ( A )卷 课程编号: H61030010 课程名称: 微机原理与接口技术 考试形式: 闭卷 适用班级: 姓名: 学号: 班级: 学院: 信息工程 专业: 计算机科学技术 考试日期: 题号 一 二 三 四 五 六 七 八 九 十 总分 累分人 签名 题分 15 20 10 20 15 20 100 得分 考生注意事项:1、本试卷共 6页,请查看试卷中是否有缺页或破损。如有立即举手报告以便更换。 2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。 一、 填空题(每空 1 分,
  3. 所属分类:嵌入式

  1. 四位二进制计数器译码程序

  2. library IEEE; use IEEE.std_logic_1164.all; entity sysegd is port (x : in std_logic_vector(3 downto 0); s : out std_logic_vector (6 downto 0)); end entity; architecture bin27seg_arch of sysegd is begin process(x) begin case x(3 downto 0) is when "000
  3. 所属分类:C

  1. 组成原理作业1-10章答案(唐朔飞

  2. 第一章 计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯•诺依曼计算机的特点是什么? 解:冯•诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按
  3. 所属分类:专业指导

    • 发布日期:2011-10-24
    • 文件大小:1048576
    • 提供者:wangyi110cs
  1. Proteus仿真—40个单片机初学程序.

  2. 1. 闪烁灯 1.  实验任务 如图4.1.1所示:在P1.0端口上接一个发光二极管L1,使L1在不停地一亮一灭,一亮一灭的时间间隔为0.2秒。 2.  电路原理图 图4.1.1 3.  系统板上硬件连线 把“单片机系统”区域中的P1.0端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上。 4.  程序设计内容 (1). 延时程序的设计方法 作为单片机的指令的执行的时间是很短,数量大微秒级,因此,我们要求的闪烁时间间隔为0.2秒,相对于微秒来说,相差太大,所以我们在执行某一指令时,插
  3. 所属分类:硬件开发

    • 发布日期:2009-04-13
    • 文件大小:5242880
    • 提供者:q123456qpf
  1. 2011年南邮复试微机原理

  2. 考研2011年南邮复试微机原理810真题!dol/-ys 五、程序设计题(35分) 1.编写完整的汇编语言程序,测试字符串 STRING中是否存在数字,若有数字,则在屏 幕上显示字符“Y,否则显示字符N’。 2.台PC机利用主串囗采月查询方式,进行外环自发自收。一帧字符包含8个数据位, 偶校验,1位停止位,通信速率为9600波特(分频系数为000CH) (1)用对端口直接编程的方法编写8250初始化子程序 (2)编写用查询方式自发自收字符“A’的程序段。 3.假设PC机总线外扩了一片8254(口
  3. 所属分类:其它

    • 发布日期:2019-02-22
    • 文件大小:2097152
    • 提供者:weixin_41605487