您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 四选一数据选择器和基本触发器的设计

  2. 组成原理 课程设计报告 四选一数据选择器和基本触发器的设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-19
    • 文件大小:237568
    • 提供者:yykable
  1. 四选一 多路选择器 VHDL程序

  2. 这个程序实现了用VHDL完成多选一的效果 由此程序可以改十路以上的
  3. 所属分类:专业指导

    • 发布日期:2009-12-01
    • 文件大小:487
    • 提供者:hao610886067
  1. 四选一数据选择器 EDA

  2. eda课程中用原理图实现四选一数据选择器,已编译成功!
  3. 所属分类:专业指导

    • 发布日期:2009-12-26
    • 文件大小:107520
    • 提供者:gaoyungaoyun
  1. verilog选择器4选一

  2. verilog选择器4选一,四路输入,一路输出,还有两位做选择,压缩包里有生成的vcd文件以及*.v的源代码。
  3. 所属分类:Actionscript

    • 发布日期:2011-07-06
    • 文件大小:2048
    • 提供者:dance_zzy
  1. 四位比较器和八选一数据选择器

  2. 四位比较器和八选一数据选择器实验报告,图形及图形分析
  3. 所属分类:软件测试

    • 发布日期:2011-11-28
    • 文件大小:197632
    • 提供者:tyn243222791
  1. 四选一多路选择器vhdl程序代码

  2. 四选一多路选择器vhdl程序代码,利用max+plus软件进行仿真,课堂上的代码,亲测成功。
  3. 所属分类:软件测试

    • 发布日期:2012-02-23
    • 文件大小:1024
    • 提供者:fjdancong
  1. VHDL 4选一选择器

  2. VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); begin ab y y y y null; end case; end process; end archite
  3. 所属分类:专业指导

    • 发布日期:2012-03-24
    • 文件大小:10240
    • 提供者:xiangxiayatou
  1. EDA实验报告

  2. 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出
  3. 所属分类:专业指导

    • 发布日期:2012-04-22
    • 文件大小:356352
    • 提供者:muyuyue
  1. EDA-基本触发器,四选一数据选择器

  2. 基于verilog预言的基本触发器,四选一数据选择器
  3. 所属分类:其它

    • 发布日期:2012-06-08
    • 文件大小:248832
    • 提供者:tearless1215
  1. 实验四、译码器和数据选择器

  2. 关于译码器和数据选择器的实验课程 着重三八译码器和四选一、双四选一的数据选择器实际应用
  3. 所属分类:专业指导

    • 发布日期:2013-03-31
    • 文件大小:475136
    • 提供者:guangming153
  1. Verilog VDL编写的四选一数据选择器报告

  2. Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
  3. 所属分类:嵌入式

    • 发布日期:2017-05-18
    • 文件大小:266240
    • 提供者:qq_36319600
  1. eda实验4选1多路选择器

  2. EDA实验课上做的四选一多路选择器,希望有帮助。我也是刚学的EDA课程
  3. 所属分类:其它

    • 发布日期:2018-11-08
    • 文件大小:140288
    • 提供者:xxwxxwn
  1. 四选一数据选择器+37线译码器-18北邮电子-数电实验2.zip

  2. 2018级北京邮电大学电子院大二下数电实验第二题。里面包含了全部文件包括分析。这个其实不难。学弟学妹们加油
  3. 所属分类:硬件开发

    • 发布日期:2020-05-20
    • 文件大小:750592
    • 提供者:Molimoli_mi
  1. CSS选择器.pdf

  2. 在 CSS 中,选择器是一种模式,用于选择需要添加样式的元素。 "CSS" 列指示该属性是在哪个 CSS 版本中定义的。(CSS1、CSS2 还是 CSS3。)渡一教育 以上四种是大家最常见,也是最常使用的选择器。接下来我们来看一看关系选择 器 关系选择符 关系选择符包括:后代选择器(EF)、直接子元素选择器(E>F)、相邻选择器(E +F)、兄弟选择器(E~F)、并列选择器(E,F) 1.后代选择器(EF) 这也是我们最常用的一神选择器一一后代选择器。用于选取E元素下子元素 F,要留意
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:538624
    • 提供者:weixin_42417806
  1. EDA四选一多路选择器的设计

  2. 多路选择器(又称为数据选择器) ①功能 在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。 ②典型芯片 典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。 数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个选择变量。芯片有16条引线,其中1D0~1D3,2D0~2D3为8条数据输入线,A1和A0为选择
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:291840
    • 提供者:weixin_38653040
  1. EDA/PLD中的EDA典型单元电路的多路选择器的设计

  2. 多路选择器可以从多组数据来源中选取一组送入目的地。它的应用范围相当广泛,从组合逻辑的执行到数据路径的选择,经常可以看到它的踪影。另外在时钟、计数定时器等的输出显示电路中经常利用多路选择器制作扫描电路来分别驱动输出装置,以降低功率的消耗。有时也希望把两组没有必要同时观察的数据,设置为共享一组显示电路,以降低成本。   多路选择器的结构是2"个输入数据对应有N个数据输出选择控制线和一个输出线。   【例1】 设计一个四选一的多路选择器的VHDL程序(使用IF-THEN-ELSE语句),并使用MA
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:309248
    • 提供者:weixin_38692043
  1. 选择器的朋友可以试试这个思路 延迟执行归并选择操作

  2. 这样像类似下面的四个同一代码段中的4个选择操作 $class(“a”).color(“green”); $class(“b”).color(“red”); $class(“c”).color(“green”); $class(“d”).color(“red”); 最终只需要一次遍历就可以实现了。 因为之前么有太关注选择器的问题,不知道是不是我out了,大家早就已经想到了? 下面是sample,两次选择 一次遍历 aaaaaaaaaaaaaa bbbbbbbbbbbb cccccccccccc
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:24576
    • 提供者:weixin_38620839
  1. 数据选择器

  2. 数据选择器的定义及功能  数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示。  下面以4选1数据选择器为例,说明工作原理及基本功能。其逻辑图为:  功能表为:  为了对4个数据源进行选择,使用两位地址码BA产生4个地址信号。由BA等于00、01、10、11分别控制四个与门的开闭。显然,任何时候BA只有一种可能的取值,所以只有一个与门打开,使对应的那一路数据通过,送达Y端。输入使能端
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:174080
    • 提供者:weixin_38626473
  1. EDA典型单元电路的多路选择器的设计

  2. 多路选择器可以从多组数据中选取一组送入目的地。它的应用范围相当广泛,从组合逻辑的执行到数据路径的选择,经常可以看到它的踪影。另外在时钟、计数定时器等的输出显示电路中经常利用多路选择器制作扫描电路来分别驱动输出装置,以降低功率的消耗。有时也希望把两组没有必要同时观察的数据,设置为共享一组显示电路,以降低成本。   多路选择器的结构是2"个输入数据对应有N个数据输出选择控制线和一个输出线。   【例1】 设计一个四选一的多路选择器的VHDL程序(使用IF-THEN-ELSE语句),并使用MAX+
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:385024
    • 提供者:weixin_38627826
  1. eda四选一多路选择器的设计

  2. 多路选择器(又称为数据选择器)  ①功能  在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。  ②典型芯片  典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。  数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个选择变量。芯片有16条引线,其中1D0~1D3,2D0~2D3为8条数据输入线,A1和A0为选择输入
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:300032
    • 提供者:weixin_38670208
« 12 3 4 5 6 7 8 9 10 ... 31 »