您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于优化DA算法滤波器的设计及其FPGA实现

  2. 由于传统MAC方法在设计数字滤波器时频繁使用乘法器,导致整个系统运行速率下降,而一般DA算法在设计高阶滤波器时存在查找表规模过大以至于难以实现的问题。提出一种优化的DA算法来克服这一缺陷,为此设计了一个18阶的线性相位结构的FIR低通滤波器,并用Verilog HDL语言在FPGA上实现,在第三方仿真平台Modelsim工具上仿真。仿真结果与MATLAB计算的理论值进行对比,验证了此优化算法的正确性。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:335872
    • 提供者:weixin_38562079