您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于EDA技术的555单稳态触发器设计与仿真

  2. 这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:60416
    • 提供者:weixin_38537541
  1. 基于EDA技术的555单稳态触发器设计与仿真

  2. EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:484352
    • 提供者:weixin_38694343
  1. EDA/PLD中的基于EDA技术的555单稳态触发器设计与仿真

  2. 1 引言   当前电子线路已大量采用计算机辅助仿真设计,尤其是电子设计EDA仿真技术。EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。   2 电路设计原理   2.1 单稳态触发器概述   电路中只有一种稳定工作状态的触发器叫做单稳态触发器,其特点:在无外加触发信号作用时,电路处于一种稳定工作
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:229376
    • 提供者:weixin_38643407
  1. 基于EDA技术的555单稳态触发器设计与仿真

  2. 1 引言   当前电子线路已大量采用计算机辅助仿真设计,尤其是电子设计EDA仿真技术。EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。   2 电路设计原理   2.1 单稳态触发器概述   电路中只有一种稳定工作状态的触发器叫做单稳态触发器,其特点:在无外加触发信号作用时,电路处于一种稳定工作
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:315392
    • 提供者:weixin_38551046