您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的交通灯实验报告.docx

  2. 基于FPGA的交通灯的设计实验,采用VHDL编写程序,并在QUARTUS II工具平台仿真,下载到实验箱进行验证。本次设计较复杂,如果不采用状态机的方式实现起来会非常繁琐,所以在功能中采用状态机的方式实现。
  3. 所属分类:交通

    • 发布日期:2020-05-24
    • 文件大小:694272
    • 提供者:w17851006125