您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的交通灯控制器

  2. 设计任务 (一)有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为东西向,支干道为南北向。为确保车辆安全,迅速地通行,在交叉道口的每个入口处设置了红,绿,黄3色信号灯。 (二)要求: (1)主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行,主干道每次放行55s,支干道每次放行25s。每次由绿灯变为红灯的过程中,黄灯亮5s作为过渡。 (2)能实现正常的倒计时显示功能。 (3)能实现总体清零功能:计数器由初始状态开始计数,对应状态的指示灯亮。 (4)能实现特殊状态的功能显示:进入特
  3. 所属分类:嵌入式

    • 发布日期:2009-06-13
    • 文件大小:858112
    • 提供者:yang2lan
  1. 《EDA》技术I实验指导书

  2. 很好的《EDA》技术I实验指导书! 《EDA技术I》实验教学大纲 1 第一部分:《电子设计自动化设计》实验说明 3 一、设计题目选择的要求 3 二、提交设计报告的要求 3 三、设计题目 3 四、实验考核方式说明 4 第二部分:基于GEXIN EDAPRO/240H实验仪实验 5 题目一 MAX+PLUSII基本操作 5 题目二 QUARTUSⅡ基本操作 5 题目三 FPGA compiler基本操作 6 题目四 4bit二进制加法器设计 6 题目五 4bit频率计设计 7 题目六 计数器设计
  3. 所属分类:交通

    • 发布日期:2009-12-03
    • 文件大小:2097152
    • 提供者:huangluxing163
  1. Verilog_HDL教程

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2009-12-21
    • 文件大小:4194304
    • 提供者:yanlihui13579
  1. 基于FPGA的交通信号控制器的设计

  2. 这是关于基于FPGA的交通信号控制器的设计的一篇论文 有兴趣的同学可以下载
  3. 所属分类:交通

    • 发布日期:2011-01-14
    • 文件大小:433152
    • 提供者:blueskyrrg123
  1. 基于FPGA 的交通灯控制器的设计

  2. 这是一个基于FPGA的交通灯控制器的设计,程序整体的思路很清晰,便于学习
  3. 所属分类:硬件开发

    • 发布日期:2011-05-24
    • 文件大小:141312
    • 提供者:zhaoziyunzai
  1. 基于fpga交通灯控制器

  2. 毕业设计 利用vhdl语言 cyclone芯片 设计的 交通灯控制器
  3. 所属分类:数据库

    • 发布日期:2011-05-26
    • 文件大小:1048576
    • 提供者:wangdianxin345
  1. Verilog_HDL经典教程实用手册

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2011-06-02
    • 文件大小:4194304
    • 提供者:heirfr
  1. EDA课程设计 基于FPGA的交通控制器的设计

  2. 本交通灯控制器适用于公路交叉路口主干道的车流量大于次干道车流的情况,主干道定为绿灯35S,黄灯5S,红灯30S;次干道定为红灯40S,绿灯25S,黄灯5S。同时用数码管显示倒计时显示,另外有一个特殊状态,当特殊状态出现时,两个方向都禁止通行,指示红灯,停止计时。特殊状态解除后,恢复计数并指示时间。
  3. 所属分类:专业指导

    • 发布日期:2011-07-05
    • 文件大小:441344
    • 提供者:xiancc
  1. FPGA嵌入式项目开发实战(8,20章)与光盘代码

  2. FPGA嵌入式项目开发实战(8,20章)与光盘代码 FPGA嵌入式项目开发实战 从实用的角度出发,通过大量工程实例,详细介绍了FPGA项目程序设计的方法与技巧。全书共分为4篇25章,第1篇为FPGA基础知识篇,简要介绍了FPGA硬件结构、VHDL语言编程基础,以及FPGA常用开发工具等;第2篇为FPGA 数字系统程序实例,通过矩阵键盘扫描接口设计、PS/2键盘接口设计、点阵发光管扫描接口设计、VGA彩条信号发生器、6层电梯控制器、两种分频器设计、波形信号发生器的设计、交通灯控制的设计、常见的两
  3. 所属分类:硬件开发

    • 发布日期:2011-12-21
    • 文件大小:48234496
    • 提供者:xiaojj2005
  1. Verilog_HDL教程.pdf

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2012-03-12
    • 文件大小:4194304
    • 提供者:lzj1987
  1. 《现代数字系统设计》课程论文

  2. 《现代数字系统设计》课程论文 基于FPGA的交通灯控制器设计 含代码
  3. 所属分类:硬件开发

    • 发布日期:2012-03-19
    • 文件大小:300032
    • 提供者:lxq151
  1. 基于FPGA的交通灯控制器设计

  2. 本实验设计一个十字路口的交通灯控制器,分为东西和南北两个部分。每个部分有五盏灯,分别为左转灯、直行灯、右转灯、人行道灯及黄灯,另外还有一个倒计时器。左转灯、直行灯、右转灯、人行道灯亮表示允许通行,灯灭表示禁止通行;黄灯亮表示即将有信号灯的状态发生改变;倒计时显示了到下一状态的时间。 2.状态表(0表示灯灭,1表示灯亮) 时间度量 东西方向  南北方向 东西方向 南北方向 t/s ← ↑ → 行人 黄 ← ↑ → 行人 黄 倒计时/s 倒计时/s 0~13 0 1 1 0 0 0 0 0 0 0
  3. 所属分类:其它

    • 发布日期:2013-07-02
    • 文件大小:559104
    • 提供者:minorduan_1232
  1. 交通灯控制器课程设计

  2. 学校的课程设计要求,提供资源仅供参考。本课设基于FPGA和verilog-HDL语言进行设计。首发原创!
  3. 所属分类:硬件开发

    • 发布日期:2015-05-24
    • 文件大小:39936
    • 提供者:qq_17106127
  1. 基于VHDL语言的交通灯控制器设计

  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。 ~~~~~~~~~~~~~~~~~~~~~· 非常详细的设计过程,仿真图,设计思路,代码
  3. 所属分类:交通

    • 发布日期:2009-02-14
    • 文件大小:456704
    • 提供者:u010840581
  1. 基于FPGA的实用小例程

  2. 实战训练9 基于verilog的VGA简单接口驱动 实战训练5 交通灯控制器 实战训练3 串口通信 实战训练6 16位乘法器芯片设计
  3. 所属分类:硬件开发

    • 发布日期:2018-06-15
    • 文件大小:44040192
    • 提供者:qq_25593693
  1. 基于FPGA的交通灯课程设计资料.zip

  2. 基于FPGA的交通灯课程设计资料,包括程序源码及论文资料,以FPGA为核心,设计一个交通灯控制器,每个路口都有红、黄、绿三盏灯。该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。
  3. 所属分类:其它

    • 发布日期:2020-04-12
    • 文件大小:6291456
    • 提供者:weixin_40445526
  1. 经典电路200例,DDB格式。有文件列表。

  2. 10K10.DDB 1820温度采集.ddb 2003院电子竞赛.ddb 2005CCTVROBOT.ddb 2051流水灯.ddb 232通信电路.ddb 300M射频遥控电路.ddb 458通信.ddb 4X4动态扫描键盘.ddb 4X4键盘.ddb 51单片机最小系统.ddb 555延时关灯.ddb 61A板电路原理图.DDB 8人表决器.ddb A.txt ADC0832.DDB altra下载电缆.DDB ARM7MP3.ddb ARMPower.ddb Atmega128.DDB A
  3. 所属分类:硬件开发

    • 发布日期:2012-08-05
    • 文件大小:23068672
    • 提供者:tjcfeng
  1. jiaotongdeng2.zip

  2. 基于FPGA实现交通灯控制器的源代码文件,已验证成功,设计一个十字路口的交通管理系统,并用VHDL进行描述。用两组数码管实现双向倒计时显示。
  3. 所属分类:其它

    • 发布日期:2020-06-28
    • 文件大小:3145728
    • 提供者:cfbty
  1. 基于FPGA的十字路口交通信号灯控制系统

  2. (1)设计一个用于十字路口的交通灯灯控制台,能显示十字路口东西、南北两个方向设计一个用于十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; (2)具有倒计时的功能,用两组数码管作为东西和南北方向的倒计时显示,主干道直行(绿灯)60秒后,左转(绿灯)40秒;支干道直行(绿灯)45秒后,左转(绿灯)30秒,在每次绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。黄灯每秒闪亮一次。 (3)只考虑直行和左转车辆控制信号灯,右转车辆不受信号灯控制, 南北向车辆与东西向车辆交替方
  3. 所属分类:硬件开发

    • 发布日期:2020-07-28
    • 文件大小:5242880
    • 提供者:Zhouyunfeier