您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第1页到76页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virtex-
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:18874368
    • 提供者:jiemizhe000
  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第77页到140页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virte
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:19922944
    • 提供者:jiemizhe000
  1. 雷达光栅扫描显示技术研究

  2. 雷达光栅扫描显示技术在雷达应用领域占有重要的地位,随着高分辨率、多 彩色、高综合能力要求的不断提高,现代应用对雷达光栅扫描显示技术提出了新 的要求。 在深入研究和分析这一领域的发展现状和技术难点的基础上,提出了一个基 于PCI总线和高速可编程逻辑器件的雷达光栅扫描综合显示系统方案。为了解决 传统的雷达光栅扫描显示系统中广泛使用图形处理器造成系统灵活性和通用性降 低的问题,提出了利用计算机操作系统的图形处理能力进行二次信息的加工及处 理,并通过PCI总线完成二次图像的信息传输。对一次信息的处理实
  3. 所属分类:C++

    • 发布日期:2013-04-08
    • 文件大小:2097152
    • 提供者:swdsadsa
  1. Altera FIFO开发资料

  2. altera_ug_fifo.pdf audio_dac_fifo.rar FIFO中文应用笔记.pdf FIFO基础知识.doc FPGASoPC软硬件协同设计纵横谈.pdf FPGA的VGA视频输出工程文件// freedev_vga FPGA的VGA视频输出工程文件.rar FreeDev FPGA音频开发环境和平台构建.pdf Nios系统基础上的UItra DMA数据传输模式.doc SD_Card_Audio// Audio_DAC_FIFO_altera的ip核 DE2_SD_C
  3. 所属分类:硬件开发

    • 发布日期:2013-07-26
    • 文件大小:12582912
    • 提供者:originator
  1. Altera Enthernet开发资料

  2. 2410平台上dm9000a网卡驱动分析.doc dm9000a网卡驱动.doc 没有经过修改的代码dm9000a.rar 32位软核处理器NIOSII的以太网接口设计与实现.caj ALTERA DE2开发板一个网络芯片DM9000A的应用范例// DE2_Web_Server DE2板子附带的DM9000A网络IP CORE// DM9000A Design and Implementation of the lwIP TCP_IP Stack.pdf DM9000A Applicatio
  3. 所属分类:硬件开发

    • 发布日期:2013-07-26
    • 文件大小:30408704
    • 提供者:originator
  1. 基于FPGA的嵌入式图像处理系统设计(中文版PDF)

  2. 《基于fpga的嵌入式图像处理系统设计》详细介绍了fpga(field programmable gatearray,现场可编程门阵列)这种新型可编程电子器件的特点,对fpga的各种编程语言的发展历程进行了回顾,并针对嵌入式图像处理系统的特点和应用背景,详细介绍了如何利用fpga的硬件并行性特点研制开发高性能嵌入式图像处理系统。作者还结合自己的经验,介绍了研制开发基于fpga的嵌入式图像处理系统所需要的正确思路以及许多实用性技巧,并给出了许多图像处理算法在fpga上的具体实现方法以及多个基于f
  3. 所属分类:硬件开发

    • 发布日期:2015-02-09
    • 文件大小:55574528
    • 提供者:johnllon
  1. Altera Enthernet DM9000开发资料

  2. 2410平台上dm9000a网卡驱动分析// 2410平台上dm9000a网卡驱动分析.doc dm9000a网卡驱动.doc 没有经过修改的代码dm9000a.rar 32位软核处理器NIOSII的以太网接口设计与实现.caj ALTERA DE2开发板一个网络芯片DM9000A的应用范例// DE2_Web_Server DE2板子附带的DM9000A网络IP CORE// DM9000A Design and Implementation of the lwIP
  3. 所属分类:硬件开发

    • 发布日期:2013-07-26
    • 文件大小:30408704
    • 提供者:originator
  1. 基于IP核的PCI接口FPGA设计实现

  2. 采用IP核的设计方法,将外设组件互连标准(PCI)总线接口与具体功能应用集成在一个FPGA上芯片, 提高了系统的集成度。在对PCI IP核进行概述的基础上,介绍了IP核的设计方法,实现了PCI总线接口,并设计DMA 控制器解决了接口和主机间的数据传输瓶颈问题,最后说明了驱动程序的设计方法。通过在PCI机箱的实验测试,设计在功能和时序上均符合PCI技术规范,而且硬件工作稳定可靠,达到预期目标。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:100352
    • 提供者:weixin_38705762
  1. 高速红外VFIR控制器的设计与实现

  2. 根据IRDA红外串行物理层规范IRDA-1.4设计基于PCI总线的甚高速VFIR红外控制器,详细分析了控制器的硬件和软件设计方法及实现过程。设计中使用PCI总线主控接口芯片S5933,实现复杂的PCI总线接口到相对简单的用户接口功能转换;使用FPGA实现红外控制器的传输控制和时序逻辑。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:259072
    • 提供者:weixin_38646230
  1. 基于FPGA的PCI总线接口多通道DMA控制器的设计与实现

  2. 利用FPGA芯片设计PCI总线接口的多通道DMA控制器的基本原理及实现方法。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:97280
    • 提供者:weixin_38620734
  1. 嵌入式系统/ARM技术中的PXI总线仪用模块的设计与实现

  2. 介绍了PXI总线仪用模块的结构和特点,描述了低成本FPGA器件开发模块的各种功能。   重点描述了PXI仪用模块必须具有的PCI/PXI总线接口、精密时基和触发控制器的实现,最后探讨了PXI仪用模块针对EMC应采取的措施。实际应用表明所设计的PXI仪用模块完全符合PXI硬件规范,达到了设计要求。    PXI规范定义了一种功能强大的仪器平台,用于测量和自动化领域。PXI是基于CPCI平台、并附加仪器规范(如EMI/RFI、机械接口、冷却、触发、设备同步、软件接口等)所构成的仪用平台。测试系统的
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:104448
    • 提供者:weixin_38700779
  1. 通信与网络中的高速红外VFIR控制器的设计与实现

  2. 摘要:根据IRDA红外串行物理层规范IRDA-1.4设计基于PCI总线的甚高速VFIR红外控制器,详细分析了控制器的硬件和软件设计方法及实现过程。设计中使用PCI总线主控接口芯片S5933,实现复杂的PCI总线接口到相对简单的用户接口功能转换;使用FPGA实现红外控制器的传输控制和时序逻辑。 关键词:PCI总线 接口控制器S5933 甚高速红外控制器 HHH(1,13)编解码 PCI(Peripheral Component Interconnect)局部总线[1]是一种高性能、32位或64
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:112640
    • 提供者:weixin_38591291
  1. 嵌入式系统/ARM技术中的基于Verilog的SMBus总线控制器的设计与实现

  2. 摘要:SMBus是一种高效的同步串行总线。通过分析SMBus总线协议,提出了一种运行于基于PCI-Express技术的桥接芯片上的SMBus控制器的设计方案,并且用Verilog语言描述,最后在Altera公司的FPGA上得以实现。通过仿真测试,证明该方法是稳定有效的。 关键词:SMBus 多μC通讯系统 Verilog SMBus是Intel公司于1995年发布的一种双向两线串行通讯总线标准,具有接口线少、通讯效率高等特点。应用于多μC(microcontroller)通讯系统中,可以满
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:87040
    • 提供者:weixin_38623707
  1. 基于FPGA的PCI接口控制器的设计与实现

  2. 基于FPGA的PCI接口控制器的设计与实现 胡菲 卢益民 引言 PCI总线是高速同步总线,采用高度综合优化的总线结构,目前广泛应用于各种计算机系统中,总线以32位(或64位)数据总线、33MHz(或66MHz)的时钟频率操作,具有很高的数据传输速率。 目前开发PCI接口大体有两种方案,一种是采用专用的PCI接口芯片,实现完整的PCI主控模块和目标模块接口功能,将复杂的PCI总线接口转换为相对简单的用户接口。采用这种方案,用户只要设计转换后的总线接口即可,其优点是缩短了开发周期,缺
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:77824
    • 提供者:weixin_38688820