您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的VGA控制器的实现

  2. 基于FPGA的VGA控制器的设计与实现,将得比较详细,容易读懂。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-08
    • 文件大小:287744
    • 提供者:huangliangk
  1. 基于FPGA的VGA图形控制器的实现方法

  2. CPLD课程设计基于FPGA的VGA图形控制器的实现方法
  3. 所属分类:硬件开发

    • 发布日期:2010-07-06
    • 文件大小:240640
    • 提供者:hushunzhang
  1. 基于FPGA的VGA显示控制器的实现

  2. VGA作为一种标准的显示接口得到广泛的应用。本论文依据VGA接口设计原理,采用VHDL语言以及Altera公司的Cyclone系列FPGA进行VGA显示控制器的设计,最后给出了Quartus II的仿真结果。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-17
    • 文件大小:276480
    • 提供者:guaguazeezon
  1. 基于FPGA的VGA控制器实现.pdf

  2. 基于FPGA的VGA控制器实现.pdf 基于FPGA的VGA控制器实现.pdf
  3. 所属分类:硬件开发

    • 发布日期:2011-10-11
    • 文件大小:118784
    • 提供者:gflytu
  1. 基于FPGA的VGA显示控制器的实现

  2. 基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现
  3. 所属分类:教育

    • 发布日期:2011-11-18
    • 文件大小:276480
    • 提供者:honghaier09
  1. 基于FPGA的VGA图像控制器的设计与实现

  2. 基于FPGA的VGA图像控制器的设计与实现
  3. 所属分类:电子商务

    • 发布日期:2011-11-24
    • 文件大小:406528
    • 提供者:xiaofeixia0
  1. 基于FPGA的VGA图像控制器的设计与实现

  2. 基于FPGA的VGA图像控制器的设计与实现
  3. 所属分类:Web开发

    • 发布日期:2016-04-12
    • 文件大小:310272
    • 提供者:baidu_34639589
  1. 研究论文-基于FPGA的VGA图像控制器的设计与实现.pdf

  2. 研究论文-基于FPGA的VGA图像控制器的设计与实现
  3. 所属分类:其它

    • 发布日期:2019-08-07
    • 文件大小:448512
    • 提供者:weixin_39841856
  1. 基于FPGA的线阵CCD图像采集与处理系统设计

  2. 常见色选机CCD图像采集与处理系统采用FPGA图像采集加CPU/DSP软件串行处理图像方案。本文针对该方案系统复杂、处理速度不能满足高实时性要求等缺点,提出将线阵CCD数字图像采集与图像处理集成在同一块现场可编程门阵列(Field Programmable Gate Array,FPGA)芯片上的方案。该方案简化了CCD图像采集与处理系统,利用硬件描述语言实现的色选算法,因其具有的并行特点,处理速度更快,能够满足更高实时性需求。本文首先设计制作了线阵CCD图像采集与处理系统硬件电路平台。经调试,
  3. 所属分类:专业指导

    • 发布日期:2020-03-20
    • 文件大小:5242880
    • 提供者:zj_cai
  1. 基于液晶面板走势FPGA的脑机接口实时系统的分析与实验

  2. 脑机接口BCI(Brain Computer Interface)是一种新颖的人机接口方式。它的定义是:不依赖于脑的正常输出通路(外周神经系统及肌肉组织)的脑-机(计算机或其他装置)通讯系统[1]。液晶面板走势要实现脑机接口,必须有一种能反映人脑不同状态的信号,并且能够实时或短时对这种信号进行提取和分类[2]。瞬态视觉诱发电位与刺激之间具有严格的锁时同步关系,能比较准确地检测液晶面板走势,而且它所需的视觉刺激频率比较低,不容易引起视觉疲劳。因此本研究采用瞬态视觉诱发电位来实现脑机接口。 脑机接
  3. 所属分类:其它

    • 发布日期:2020-07-11
    • 文件大小:223232
    • 提供者:weixin_38503448
  1. 基于FPGA的VGA图形控制器设计

  2. 利用FPGA设计并实现了一种VGA图形控制器。根据VGA显示原理,利用VHDL作为逻辑描述语言,在Xilinx的开发板Nexys2上完成了设计的功能。通过按动开发板上的按键可切换显示屏显示的图形,可实现横条纹、竖条纹、方格棋盘等8 bit彩色图形的显示。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:235520
    • 提供者:weixin_38608378
  1. 基于FPGA的实时视频信号处理平台的设计

  2. 提出一种基于FPGA的实时视频信号处理平台的设计方法,该系统接收低帧率数字YCbCr视频信号,对接收的视频信号进行格式和彩色空间转换、像素和,利用片外SDRAM存储器作为帧缓存且通过时序控制器进行帧率提高,最后通过VGA控制模块对图像信号进行像素放大并在VGA显示器上实时显示。整个设计使用Verilog HDL语言实现,采用Altera公司的EP2S60F1020C3N芯片作为核心器件...
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:659456
    • 提供者:weixin_38711369
  1. 基于FPGA的VGA控制器实现

  2. 摘要:依据标准的VGA显示接口的显示原理,介绍了一种利用可编程逻辑器件FPGA,并以VerilogHDL语言为逻辑描述工具来完成VGA接口的控制,从而实现简单的彩色条纹显示的具体方法。关键宇:VGA;FPGA;VerilogHDL;彩色
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:185344
    • 提供者:weixin_38704284
  1. 基于FPGA的VGA显示控制器的设计

  2. 该显示控制器是基于Xilinx公司的Spartan-IIE系列FPGA XC2S300E-6-PQ208C设计实现的。此FPGA逻辑资源丰富,其内有30万个系统门,6912个逻辑单元(LC),1536个可配置逻辑快(CLB),64Kbit的块RAM,146个可用的I/O口,4个数字延迟锁相环(DLL)。块RAM可实现大量数据的内部存储,延迟锁相环可对时钟进行管理,可自动调整并消除输入时钟与FPGA内部时钟之间的相位偏移,同时还可实现对时钟的分频、倍频和移相。 用于帧缓存的两个SDRAM
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:148480
    • 提供者:weixin_38531788
  1. 基于FPGA 的VGA 图形控制器的实现方法

  2. 引言VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。在本设计中采用了Altera公司的EDA软件工具QuartusII,并以ACEX系列FPGA的器件为主实现硬件平台的设计。1基于FPGA的VGA图形控制器系统框图根据自顶向下的程序设计思想,采用模块化设计,我们对VGA图形控制器进行功能分离并按层次
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:306176
    • 提供者:weixin_38513794
  1. 基于FPGA的VGA图形控制器设计

  2. 利用FPGA设计并实现了一种VGA图形控制器。根据VGA显示原理,利用VHDL作为逻辑描述语言,在Xilinx的开发板Nexys2上完成了设计的功能。通过按动开发板上的按键可切换显示屏显示的图形,可实现横条纹、竖条纹、方格棋盘等8 bit彩色图形的显示。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:236544
    • 提供者:weixin_38625464
  1. EDA/PLD中的基于FPGA 的VGA 图形控制器的实现方法

  2. 引言   VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA 设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA 显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。   在本设计中采用了Altera 公司的EDA 软件工具Quartus II ,并以ACEX系列FPGA 的器件为主实现硬件平台的设计。   1 基于FPGA 的VGA 图形控制器系统框图   根据自顶向下的程序设计思想,采用
  3. 所属分类:其它

    • 发布日期:2020-11-02
    • 文件大小:217088
    • 提供者:weixin_38672962
  1. EDA/PLD中的基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证,最终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VG
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:284672
    • 提供者:weixin_38708361
  1. 基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的验证,终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VGA显示
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:408576
    • 提供者:weixin_38628310
  1. 基于FPGA 的VGA 图形控制器的实现方法

  2. 引言   VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA 设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA 显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。   在本设计中采用了Altera 公司的EDA 软件工具Quartus II ,并以ACEX系列FPGA 的器件为主实现硬件平台的设计。   1 基于FPGA 的VGA 图形控制器系统框图   根据自顶向下的程序设计思想,采用
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:307200
    • 提供者:weixin_38576922
« 12 »