您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的交通控制器

  2. 基于VHDL的交通控制器,实现了左转、直行、右转的控制,涉及到有限状态机控制等
  3. 所属分类:交通

    • 发布日期:2009-08-01
    • 文件大小:260096
    • 提供者:longlytt
  1. VHDL交通灯控制器设计

  2. VHDL实现的交通灯控制器 基于状态机实现 代码明晰
  3. 所属分类:交通

    • 发布日期:2009-12-18
    • 文件大小:2048
    • 提供者:wqq_eeb
  1. 基于VHDL的交通灯控制器的设计和实现

  2. 在交通发达的当代,交通灯控制器无疑是最实用的的工具。为了使交通行驶有一个很高的效率,人工指挥交通已经显得效率不高,所以一个高智能的交通灯控制器是十分的必要的。交通灯的控制方式,是根据时间来改变状态,产生不同的控制信号以控制红、绿、黄三盏灯的开关,达到控制交通的目的
  3. 所属分类:交通

    • 发布日期:2010-01-04
    • 文件大小:325632
    • 提供者:tiyuanzhuren
  1. 基于VHDL的交通灯控制器设计

  2. 用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
  3. 所属分类:交通

    • 发布日期:2010-06-02
    • 文件大小:207872
    • 提供者:cuiguan1989
  1. 基于CPLD的交通灯设计

  2. 十字路口的交通信号灯控制器,控制A、B两条交叉道路上的车辆通行,
  3. 所属分类:硬件开发

    • 发布日期:2011-04-19
    • 文件大小:249856
    • 提供者:zhangbeibei22
  1. 基于fpga交通灯控制器

  2. 毕业设计 利用vhdl语言 cyclone芯片 设计的 交通灯控制器
  3. 所属分类:数据库

    • 发布日期:2011-05-26
    • 文件大小:1048576
    • 提供者:wangdianxin345
  1. 交通灯控制器课程设计

  2. 学校的课程设计要求,提供资源仅供参考。本课设基于FPGA和verilog-HDL语言进行设计。首发原创!
  3. 所属分类:硬件开发

    • 发布日期:2015-05-24
    • 文件大小:39936
    • 提供者:qq_17106127
  1. 基于VHDL的十字路口交通灯自动控制器的设计

  2. 本文档包含了十字路口交通等的设计原理及利用VHDL语言进行可编程逻辑芯片的下载仿真工作。
  3. 所属分类:硬件开发

    • 发布日期:2016-03-19
    • 文件大小:84992
    • 提供者:lkphaoyu
  1. 基于VHDL语言的交通灯控制器设计

  2. 这是一个详细的交通灯设计 我下载了许多相关资料 觉得这份资料不错
  3. 所属分类:交通

    • 发布日期:2008-12-30
    • 文件大小:280576
    • 提供者:u012822070
  1. 基于VHDL语言的交通灯控制器设计

  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。 ~~~~~~~~~~~~~~~~~~~~~· 非常详细的设计过程,仿真图,设计思路,代码
  3. 所属分类:交通

    • 发布日期:2009-02-14
    • 文件大小:456704
    • 提供者:u010840581
  1. 基于V H D L的两种交通信号控制器的设计

  2. 设计一个由一条主干道和—条支干道形成的十字交叉路口 的交通信号控制器,具体要求:1)主、支干道各设有一个绿、黄、 红指示灯,两个显示数码管;2)主、支干道交替通行,主干道每次 放行40秒,支干道每次放行30秒,在每次亮绿灯变成亮红灯的转 换过程中,亮5秒的黄灯作为过渡,并进行减数计时显示;3)上电复位后,主干道先通行;4)紧急状态出现时,主、支干道都禁止通 行,指示红灯,待紧急状态解除后,自动复位计数并指示时间。
  3. 所属分类:交通

    • 发布日期:2009-02-27
    • 文件大小:446464
    • 提供者:lzqbright
  1. 基于VHDL的交通灯控制器设计

  2. 主要做了仿真及分析。 主要是理解VHDL的程序, 有原理图及程序模块 启停键,清零键 大家多互相学习。
  3. 所属分类:交通

    • 发布日期:2009-04-13
    • 文件大小:83968
    • 提供者:liweiyuanquan
  1. 交通灯控制器的VHDL实现-1

  2. 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。
  3. 所属分类:C

    • 发布日期:2018-01-29
    • 文件大小:34816
    • 提供者:nitian428
  1. 一种基于CPLD的交通灯控制系统设计

  2. 本设计由于采用自顶向下法设计交通灯控制器,合理地处理灯时分配,分频,控制显示与编码的相互关系,采用VHDL语言层次化和模块化的设计方法,减少了设计芯片的数量、减少系统开发周期,降低了功耗,可以通过改变程序或着外部输入来控制交通灯,经过调试,运行正常。此设计是基于硬件描述语言VHDL,借助CPLD器件完成的数字系统的设计,显示了可编程器件广阔前景,加之工艺的改进,可编程逻辑器件的集成度和速度将进一步提高,性能将进一步完善。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:1015808
    • 提供者:weixin_38610052
  1. 基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:261120
    • 提供者:weixin_38549327
  1. EDA/PLD中的基于EDA的交通灯控制系统作

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:75776
    • 提供者:weixin_38651365
  1. EDA/PLD中的基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:239616
    • 提供者:weixin_38740827
  1. EDA/PLD中的基于EDA的交通灯控制系统

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:158720
    • 提供者:weixin_38686041
  1. EDA/PLD中的基于EDA的交通灯控制系统 (图)

  2. Traffic light control system based on EDA 摘 要:本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。关键词:EDA;VHDL;控制器;CPLD 引言---EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:106496
    • 提供者:weixin_38614377
  1. 基于VHDL 状态机设计的智能交通控制灯

  2. 实现路口交通灯系统控制的方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了设计难度。采用EDA技术,应用VHDL硬件电路描述语言实现交通灯系统控制器的设计,利用MAX+PLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行。
  3. 所属分类:其它

    • 发布日期:2021-02-01
    • 文件大小:1048576
    • 提供者:weixin_38631329
« 12 »