您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL语言来实现对ADC0809控制程序与仿真.txt

  2. 文件名:ADC0809.vhd --功能:基于VHDL语言来实现对ADC0809控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。
  3. 所属分类:嵌入式

    • 发布日期:2009-08-30
    • 文件大小:2048
    • 提供者:guanlinhui
  1. 基于VHDL语言来实现对ADC0809控制程序与仿真.txt

  2. 基于VHDL语言来实现对ADC0809控制程序与仿真.txt
  3. 所属分类:嵌入式

    • 发布日期:2009-08-30
    • 文件大小:34816
    • 提供者:guanlinhui