您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的函数发生器设计(DDS)

  2. 基于FPGA的函数发生器,采用VHDL语言编写,已硬件实现,能够产生正弦波、三角波、方波和锯齿波四种波形,并且能够调节频率,很强大哦。。。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-01
    • 文件大小:332800
    • 提供者:ykf151864118
  1. 基于FPGA实现DDS技术的波形发生器的研究

  2. 基于FPGA实现DDS技术的波形发生器的研究
  3. 所属分类:硬件开发

    • 发布日期:2010-05-21
    • 文件大小:228352
    • 提供者:zxswjtuee
  1. 基于FPGA的DDS信号发生器

  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根
  3. 所属分类:硬件开发

    • 发布日期:2010-05-30
    • 文件大小:33792
    • 提供者:CJYuan
  1. 基于FPGA的函数发生器

  2. 运用了基于嵌入式处理器的SOPC技术,可以将DDS、控制电路等集成在一片FPGA芯片上,用较简单的电路即实现了正弦信号的产生以及AM、FM、FSK、ASK等多种信号调制功能。
  3. 所属分类:硬件开发

    • 发布日期:2011-04-16
    • 文件大小:192512
    • 提供者:njy2237
  1. 基于fpga的dds信号发生器

  2. 本设计要求DDS实现的性能指标为:当系统时钟频率为24MHz时,分辨率为1.43Hz,当相位增量寄存器为19位时,最高输出频率是749731Hz。(理论上完全可以达到,甚至更高,但是由于受到DA器件及运算放大器的影响,实际中的频率不可能达到)。
  3. 所属分类:专业指导

    • 发布日期:2011-04-20
    • 文件大小:218112
    • 提供者:su1hai2guigui32
  1. 基于FPGA的DDS信号发生器

  2. 【摘要】介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理,以及以DDS为核心的信号发生器。重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FLEX系列FPGA芯片FLEX10K进行直接数字频率合成的VHDL源程序。 【关键词】 直接数字频率合成(DDS); 现场可编程逻辑器件(FPGA);硬件描述语言(VHDL); 【前言】随着数字技术在仪表和通信系统中的广泛应用,一种从参考频率源生成多种频率的数字控制方法应运而生,这种技术被称为直接数字合成(
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:123904
    • 提供者:luck_dragon
  1. 基于FPGA实现DDS技术的波形发生器的研究

  2. 基于FPGA实现DDS技术的波形发生器的研究,很好地学习资料,你值得一看!
  3. 所属分类:硬件开发

    • 发布日期:2013-06-02
    • 文件大小:228352
    • 提供者:u010882690
  1. 基于FPGA的DDS信号发生器

  2.    本文介绍了一种基于FPGA的DDS基本信号发生器的设计方法, 应用VHDL语言编程及QuartusII软件进行编译和波形仿真,用VHDL语言对DDS进行供能描述,方便在不同的实现方式下移植和修改参数,QuartusII软件提供了方便的编译和综合平台,大大缩短了DDS的设计和开发周期。DDS模型由相位累加器、波形存储器ROM查找表(LUT)、D/A 转换器(DAC)以及低通滤波器(LPF)构成。本设计基于DDS 原理和FPGA 技术按照顺序存储方式,把正弦波、三角波、方波、锯齿波四种波形的
  3. 所属分类:硬件开发

    • 发布日期:2013-08-23
    • 文件大小:965632
    • 提供者:u011794628
  1. 基于FPGA的函数发生器(DDS)

  2. 基于FPGA的函数发生器,采用VHDL语言编写,已硬件实现,能够产生正弦波、三角波、方波和锯齿波四种波形,并且能够调节频率。
  3. 所属分类:其它

    • 发布日期:2014-03-20
    • 文件大小:332800
    • 提供者:u011423158
  1. 基于FPGA实现DDS技术的波形发生器的研究

  2. 基于FPGA实现DDS技术的波形发生器的研究,有各种波形的代码
  3. 所属分类:系统集成

    • 发布日期:2014-05-19
    • 文件大小:228352
    • 提供者:lulushell
  1. 基于FPGA的DDS信号发生器设计

  2. 基于FPGA的DDS信号源设计与实现 描述了DDS工作原理与FPGA的结合 各个模块解释详细,适合入门。
  3. 所属分类:硬件开发

    • 发布日期:2014-06-30
    • 文件大小:219136
    • 提供者:masterfonking
  1. 基于FPGA的DDS信号发生器

  2. 基于Cyclone的DDS函数信号发生器,,采用倍频至150M,可生成最高40M的正弦波
  3. 所属分类:硬件开发

    • 发布日期:2019-09-04
    • 文件大小:7340032
    • 提供者:weixin_41198651
  1. 基于FPGA的DDS信号发生器设计

  2. 本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:97280
    • 提供者:weixin_38602982
  1. 基于FPGA和DDS技术的任意波形发生器设计

  2. 根据现代电子系统对信号源的频率稳定度、准确度及分辨率越来越高的要求,结合直接数字式频率合成器(DDS)的优点,利用FPGA芯片的可编程性和实现方案易改动的特点,提出了一种基于FPGA和DDS技术的任意波形发生器设计方案。采用VHDL和原理图输入方式,在Quar-tusⅡ平台下实现该设计的综合和仿真,用Matlab对仿真数据进行处理及显示,验证了设计的正确性。通过设置参数可以灵活控制输出频率和分辨率。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:189440
    • 提供者:weixin_38737213
  1. 基于FPGA的三相函数信号发生器设计

  2. 基于FPGA的三相函数信号发生器以DDS为核心,在Altera公司CycloneⅡ系列EP2C8T144C8上实现正弦波、方波、三角波和锯齿波信号的产生,利用单片机PICl8F4550控制波形的频率及相位差。同时单片机通过DAC0832控制波形数据转换DAC902参考电压实现在波形幅度的控制,D/A输出的波形经过放大、滤波后输出。波形参数的输入输出通过触摸屏和液晶屏实现,测试结果显示该系统具有较高的精度和稳定性。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:640000
    • 提供者:weixin_38717169
  1. EDA/PLD中的基于FPGA的电子设计竞赛电路板的设计与实现

  2. 摘要: 介绍了一种基于FPGA 的电子设计竞赛电路板, 该电路板由美国Altera 公司的Cyclone 系列FPGA EP1C6、单片机、高速A/ D 转换器和D/ A 转换器等芯片组成, 另外, 还预留了一定的扩展I/ O 接口, 根据设计需要可以扩展电路。该电路板不仅可以完成电子竞赛中涉及的数字示波器、频率计、DDS 信号发生器等设计题目, 而且还可以用于赛前培训。   0 引言   全国大学生电子设计竞赛至今已成功举办了八届, 参赛人数越来越多, 竞赛规模越来越大。大学生电子竞赛在培
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:128000
    • 提供者:weixin_38500090
  1. 基于FPGA和DDS技术的正弦信号发生器设计

  2. 对于正弦信号发生器的设计,可以采用DDS,即直接数字频率合成方案实现。DDS的输出频率是数字可调的,完全能实现频率为1 kHz~10 MHz之间的正弦信号,这是实际应用中产生可调频率正弦信号波形较为理想的方案。实现DDS常用3种技术方案:高性能DDS单片电路的解决方案;低频正弦波DDS单片电路的解决方案;自行设计的基于FPGA芯片的解决方案。虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定满足用户需求。而基于FPGA则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:135168
    • 提供者:weixin_38618784
  1. 模拟技术中的基于SOPC的DDS信号发生器设计

  2. 摘  要:直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的核心器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。   0 引 言   直接数字频率合成( Dir ect Dig ital Frequency Synthesis,DDS
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:432128
    • 提供者:weixin_38641561
  1. 单片机与DSP中的基于FPGA的DDS任意波形发生器

  2. 目前利用专门DDS芯片开发的信号源比较多,它们输出频率高、波形好、功能也较多,但它们的ROM里一般都只存有一种波形(正弦波),加上一些外围电路也能产生少数几种波形,但速度受到很大的限制,因此使用不是很灵活。为了增加灵活性,可以采用FPGA实现DDS技术,把DDS中的ROM改用SRAM,SRAM作为一个波形抽样数据的公共存储器,只要改变存储波形信息的数据,就可以灵活地实现任意波形发生器。  该系统主要由DDS系统、数模转换及输出信号调理等部分组成,由单片机控制,外加键盘及显示等人-机接口部分。DD
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:92160
    • 提供者:weixin_38625708
  1. 基于FPGA 的三相正弦DDS 的设计与实现

  2. 摘要:利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的三相正弦信号发生器。正弦调制波的产生采用查表法,仅将1/4周期的正弦波数据存入ROM中,减少了系统的硬件开销。经过仿真和电路测试,输出波形完全达到了技术要求,证明了设计的正确性和可行性。   1. 引言   直接数字频率合成器(DDS)技术,是根据相位的概念出发直接合成所需的波形的一种 新的频率合成原理,是一种把一系列数字形式的信号通过DAC转换成模拟形式信号合成技术。 具有频率切换速度快,
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:253952
    • 提供者:weixin_38743084
« 12 3 4 5 6 7 »