您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 汽车尾灯控制器课程设计

  2. 汽车方向灯模拟控制器的课程设计,有简单的原理图,以及PCB图,可以作为课程设计或者毕业设计的参考
  3. 所属分类:专业指导

    • 发布日期:2009-06-04
    • 文件大小:749568
    • 提供者:liufj2008
  1. Protel应用实践——汽车尾灯控制器

  2. Protel应用实践——汽车尾灯控制器 电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图
  3. 所属分类:嵌入式

    • 发布日期:2010-01-05
    • 文件大小:430080
    • 提供者:taolei372817455
  1. 基于VHDL语言的汽车尾灯控制器设计

  2. 基于VHDL语言的汽车尾灯控制器设计用MAX+PLUAII进行仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-03-31
    • 文件大小:222208
    • 提供者:xialii
  1. EDA课程设计 汽车尾灯控制器的设计

  2. 汽车尾灯控制器的设计 1、设计内容 选用合适的可编程逻辑器件及外围电子元器件,设计一个汽车尾灯控制器,利用EDA软件(QUARTUS Ⅱ)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。
  3. 所属分类:嵌入式

    • 发布日期:2010-06-23
    • 文件大小:240640
    • 提供者:ZZH413540175
  1. 基于VHDL语言的汽车尾灯控制器设计.pdf

  2. 基于VHDL语言的汽车尾灯控制器设计.pdf基于VHDL语言的汽车尾灯控制器设计.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-09-11
    • 文件大小:222208
    • 提供者:opp563
  1. 数字电路课程设计汽车尾灯控制器

  2. 用电子电路Multisim7绿色免安装版设计而成的数字电路课程设计成品——汽车尾灯控制器
  3. 所属分类:制造

    • 发布日期:2011-05-03
    • 文件大小:29696
    • 提供者:renran2233
  1. 计算机组成原理课程设计《基于VHDL汽车尾灯控制器的设计》

  2. 计算机组成原理课程设计《基于VHDL汽车尾灯控制器的设计》
  3. 所属分类:专业指导

    • 发布日期:2011-09-02
    • 文件大小:403456
    • 提供者:xie398354536
  1. 汽车尾灯控制器的设计

  2. 采用VHDL硬件描述语言描述汽车尾灯电路,完成对电路的功能仿真。在设计过程中,重点探讨了汽车尾灯电路的设计思路和功能模块划分,通过分析仿真波形表明设计的汽车尾灯电路完成了预期的功能。
  3. 所属分类:C/C++

    • 发布日期:2011-12-07
    • 文件大小:331776
    • 提供者:qiaobayong
  1. 基于vhdl的汽车尾灯控制器的设计

  2. 基于vhdl的汽车尾灯控制器的设计
  3. 所属分类:嵌入式

    • 发布日期:2012-06-26
    • 文件大小:403456
    • 提供者:wt11240825
  1. 汽车尾灯控制器的设计与制作

  2. 汽车尾灯控制器的设计与制作 时钟脉冲信号发生 显示驱动电路 开关控制电路 三进制计数器 译码电路 图 1-1 设计电路控制关系
  3. 所属分类:硬件开发

    • 发布日期:2013-05-14
    • 文件大小:1048576
    • 提供者:xiaowanglida
  1. 汽车尾灯控制器的设计

  2. 汽车尾灯控制器的设计 课程设计 代码文档
  3. 所属分类:硬件开发

    • 发布日期:2013-10-28
    • 文件大小:726016
    • 提供者:zs2010211251
  1. 数字逻辑大作业 汽车尾灯控制器设计

  2. 数字逻辑大作业 汽车尾灯控制器   功能有指示行驶状态(转弯、直行、刹车)、未锁车门定时报警(闪烁固定次数后熄灭)、紧急时刻提示其他车辆(不同频率不同情况)等。
  3. 所属分类:专业指导

    • 发布日期:2014-04-03
    • 文件大小:125952
    • 提供者:mei_xiao_yi
  1. 汽车尾灯控制器的设计与制作

  2. 本课程设计主要介绍了一种简便的汽车尾灯控制器的设计方法,主要解决如何更加灵活的利用汽以发车光二极管为显示电路的尾灯控制器控制左转、右转和急刹车等信息。通过设计该电路,熟悉模拟电路、数字电路、电路基础和逻辑设计等相关知识。设计思路包括5个部分:脉冲电路的设计、开关控制电路的设计 、三进制计数器电路的设计、译码与显示驱动电路的设计、尾灯状态显示电路的设计。
  3. 所属分类:嵌入式

    • 发布日期:2014-04-30
    • 文件大小:360448
    • 提供者:qq_15008755
  1. 汽车尾灯控制器的设计与制作

  2. 汽车尾灯控制器的设计与制作
  3. 所属分类:系统集成

    • 发布日期:2014-05-25
    • 文件大小:360448
    • 提供者:qq_15754397
  1. 数字逻辑汽车尾灯控制器设计报告

  2. 做的数字逻辑的课程设计,是汽车尾灯控制器设计,与大家一起分享吧
  3. 所属分类:专业指导

    • 发布日期:2008-11-05
    • 文件大小:80896
    • 提供者:wyxuesheng
  1. 汽车尾灯控制器课程设计

  2. 设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。在汽车尾部左右两侧各有3个指示灯(假定采用发光二极管模拟),根据汽车运行情况,指示灯具有4种不同的显示模式:1汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;2汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;3汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮;4汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。
  3. 所属分类:专业指导

    • 发布日期:2009-02-24
    • 文件大小:749568
    • 提供者:qwe8783318204
  1. 汽车尾灯控制器数电课设.zip

  2. 请确定题目是否相符,若相符望采纳。设计要求:设计一个小汽车尾灯控制电路,控制小汽车左右两侧三个尾灯的亮灭。分别设置左转弯和右转弯开关,两个开关不得同时开启。左转弯时,开启左转弯控制开关,左侧三个尾灯依次点亮并循环,时间间隔为1s;右转弯时,开启右转弯控制开关,右侧三个尾灯点亮并循环,时间间隔为1s;设置制动开关(模拟刹车),制动时,6个尾灯全亮。若在转弯的情况下制动,一侧的三个尾灯正常工作,另一侧的三个尾灯全亮。
  3. 所属分类:讲义

    • 发布日期:2020-03-12
    • 文件大小:1048576
    • 提供者:qq_43898299
  1. 汽车尾灯控制器

  2. 数字逻辑实验汽车尾灯非常全面的实验设计报告!!!!
  3. 所属分类:嵌入式

    • 发布日期:2012-07-16
    • 文件大小:7340032
    • 提供者:wzg873541086
  1. 汽车尾灯控制器(西安科技大学)

  2. 技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ① 汽车正常行驶时,尾灯全部熄灭。 ② 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③ 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④ 临时刹车时,所有指示灯同时闪烁。 ⑤ 选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。
  3. 所属分类:专业指导

    • 发布日期:2009-12-19
    • 文件大小:320512
    • 提供者:shhecker
  1. 基于单片机的汽车尾灯控制器设计

  2. 随着电子系统能够在汽车产品中的广泛应用,大大保证了控制系统的自动化,而且汽车造型日趋流线型,汽车尾灯对于汽车整体造型的完美体现有着很大作用,汽车尾灯控制系统在汽车成品中所占的比重也逐渐加大。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:80896
    • 提供者:weixin_38631042
« 12 3 4 »