您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog的布斯乘法器

  2. verilog的布斯乘法器daima entity booth16 is port ( rst: in std_logic; -- active high; to reset the system clk: in std_logic; go: in std_logic;-- if go rises from ‘0’ to ‘1’, multiplier starts operation y: in std_logic_vector(15 downto 0); x: in std_logic_v
  3. 所属分类:其它

    • 发布日期:2011-11-10
    • 文件大小:22528
    • 提供者:daniel_zhe
  1. 乘法器的布斯算法原理与verilog实现.docx

  2. 原创文档,比较详细的布斯编码硬件乘法器的原理讲解与实现,附完整的可仿真可综合示例代码,适合对集成电路基本运算模块设计感兴趣的工程师或初学者参考
  3. 所属分类:硬件开发

    • 发布日期:2020-04-08
    • 文件大小:14680064
    • 提供者:yuhua841015