您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog实现并串转换模块

  2. 模块功能:按照设计要求把输入的4位平行数据转换为协议要求的串行数据流 *** 由scl和sda配合输出 *** 本模块为RTL可综合模块,已通过综合后门级网表仿真
  3. 所属分类:嵌入式

  1. 用Verilog HDL语言实现并串、串并接口的转换.pdf

  2. 用Verilog HDL语言实现并串、串并接口的转换.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-02-08
    • 文件大小:167936
    • 提供者:h490213220
  1. vhdl实现串并转换和并串转换 包含代码

  2. 使用了vhdl语言实现了数据的串并转换,以及并串转换,包含代码,代码易懂,适合初学者学习。
  3. 所属分类:专业指导

    • 发布日期:2010-04-19
    • 文件大小:2048
    • 提供者:ou14096
  1. 用Verilog+HDL语言实现并串、串并接口的转换

  2. CPU与外部通信时有串口和并行传输两者模式,通过硬件语言实现并串、串并接口的转换
  3. 所属分类:专业指导

    • 发布日期:2010-05-20
    • 文件大小:167936
    • 提供者:fhh434102
  1. 电脑主板及通讯口并串口引脚定义

  2. RS232通讯串口 DB9 DB15 用于电脑与硬件通讯
  3. 所属分类:硬件开发

    • 发布日期:2010-05-27
    • 文件大小:90112
    • 提供者:herry01245
  1. 基于verilog的串并与并串实现

  2. 串并与并串的verilog实现及其代码,是自己做的实验报告,供大家参考,都是在仿真软件下仿真通过的
  3. 所属分类:嵌入式

    • 发布日期:2010-11-15
    • 文件大小:3145728
    • 提供者:yongsheng16524
  1. pci并串行接口驱动程序

  2. 早期的打印机 独立pci并串行接口驱动程序。
  3. 所属分类:其它

    • 发布日期:2011-03-13
    • 文件大小:633856
    • 提供者:fqr2008
  1. pci并串行接口驱动程序

  2. 早期的电脑没有串并接口的,使用独立的pci并串行接口驱动程序
  3. 所属分类:其它

    • 发布日期:2011-03-13
    • 文件大小:633856
    • 提供者:chszs
  1. 并串转换Verilog HDL

  2. 用Verilog HDL实现1024位数据并行输入,32位数据串行输入,并带有其测试的源代码,经验证可以很好的实验并串转换功能
  3. 所属分类:系统安全

    • 发布日期:2011-10-19
    • 文件大小:21504
    • 提供者:xubaoling1316
  1. 串并-并串转换

  2. 用VHDL描述的串并、并串转换 经过啦仿真验证,可以成功的实现转换
  3. 所属分类:硬件开发

    • 发布日期:2011-12-19
    • 文件大小:206848
    • 提供者:guangdianlym
  1. 串并 并串转换

  2. 用VHDL实现串并转换 并串转换。用modelism仿真验证程序可以使用。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-10
    • 文件大小:2048
    • 提供者:tyoung1020
  1. 串并转换与并串转换的Verilog实现

  2. quartus环境下工程,自己写的sipo和piso两个模块,用verilog分别实现串并转换和并串转换,通俗易懂
  3. 所属分类:硬件开发

    • 发布日期:2014-10-09
    • 文件大小:339968
    • 提供者:reggae25
  1. 基于FPGA的高速串并_并串转换器设计

  2. 在数字通信系统的数据传输中 , 多数通信数据为串行方式, 而大多数处理器要求数据以并行方式存储和处理,所以经常需要将串行传输的数据变换成并行传输, 或者将并行传输的数据变换成串行传输, 这时就需要串并/并串转换器。 在此介绍了串并/并串转换器基本原理, 并通过 Quartus Ⅱ 仿真平台进行仿真验证, 最后下载到 FPGA 芯片 EP1K30QC208⁃2实现了串并/并串转换器的设计, 仿真及实验结果表明采用此设计方案是可行的
  3. 所属分类:硬件开发

    • 发布日期:2015-04-19
    • 文件大小:1048576
    • 提供者:jianzizijian
  1. verilog并串/串并转换

  2. 采用verilog语言编写其代码。文件中有8位和16位并串转换代码和测试文件,其中8位并串转换有a和b两种方法实现
  3. 所属分类:硬件开发

    • 发布日期:2017-10-29
    • 文件大小:2048
    • 提供者:qq_16653625
  1. 74hc595驱动 并串转换模块 状态机

  2. 可以驱动两篇hc595级联的并串转换模块。用来数码管显示。并且支持逗号单独控制。使用VHDL并采用状态机编写。有说明。易懂。
  3. 所属分类:嵌入式

    • 发布日期:2018-03-07
    • 文件大小:4096
    • 提供者:weixin_41776235
  1. 串并-并串转换器电路图

  2. 大学数字电路课程设计 串并-并串转换器的设计
  3. 所属分类:专业指导

    • 发布日期:2013-04-08
    • 文件大小:31744
    • 提供者:blue_yonder
  1. 消弧线圈并串电阻接地式与接地保护原理研究

  2. 通过分析比较中性点经消弧线圈并、串电阻接地系统的工作特点,指出宜采用消弧线圈并电阻的接地方式,并提出了基于故障分量有功功率与谐波功率融合的接地保护原理,给出了相应的动作判据模型,该判据有效地拉大了故障支路与非故障支路接地故障判断量的差距,可较好地解决补偿电网的单相接地故障选线问题.
  3. 所属分类:其它

    • 发布日期:2020-07-19
    • 文件大小:1048576
    • 提供者:weixin_38631329
  1. 基于FPGA的并串转换电路硬件实现

  2. 并串转换电路在通信接口中具有广泛的应用,可编程逻辑阵列由于具备灵活、可重构等特点非常适应于并串转换硬件电路的实现。为了解决硬件电路结构中资源与性能的矛盾,分析比较了移位寄存器、计数器与组合逻辑条件判定三种不同的并串转换硬件电路结构,并通过设计仿真对其进行了功能验证和性能评估。实验结果表明采用移位寄存器的实现方法具有最优的速度性能,采用计数器的实现方法具有最优的性价比,采用组合逻辑条件判定的实现方法具有最少的寄存器资源消耗,可根据实际应用需求合理选择并串转换硬件电路实现方式。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:407552
    • 提供者:weixin_38686267
  1. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器

  2. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器,能把27位(18位视频数据和9位控制数据)并行数据转换成串行数据流,预加重改善了输出的眼图和信号完整性,有所有权的数据编码降低了EMI和提供了DC平衡,LVDS输出是内部100欧姆端子,ESD保护是ISO 10605,接触放电+/-10KV,空气放电+/-30KV,核电压3.3V,输入电压能和1.8V-3.3V逻辑电平接口,基准时钟误差+/-2%,48引脚TQFP和TQFN封装,工作温度-40度到85度C, 并串转换器可用在汽车
  3. 所属分类:其它

    • 发布日期:2020-12-02
    • 文件大小:31744
    • 提供者:weixin_38724229
  1. xarc-run:npm同时并串行运行脚本,等等-源码

  2. xarc /运行 npm run增强。 与npm run兼容 同时或顺序运行它们 用Javascr ipt扩展它们 用名称空间对它们进行分组 还有 运行 此模块提供命令xrun来运行package.json所有。 您可以同时或串行运行多个它们。 以下是一些示例: 你想做什么 npm命令 xrun命令 运行test npm run test xrun test 同时运行lint并进行test 不适用 xrun lint test 运行lint ,然后test串行test 不适
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:64512
    • 提供者:weixin_42101384
« 12 3 4 5 6 7 8 9 10 ... 50 »