您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74系列芯片资料 74564 TTL 八位三态反相输出D触发器

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-05-02
    • 文件大小:122880
    • 提供者:codychang
  1. VHDL 带有异步置位复位端的上升沿触发的JK触发器

  2. 带有异步置位复位端的上升沿触发的JK触发器
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:17408
    • 提供者:sunrier
  1. VHDL实用教程,硬件描述语言

  2. 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA...............................................................................................................1
  3. 所属分类:硬件开发

    • 发布日期:2010-07-14
    • 文件大小:4194304
    • 提供者:angus36
  1. 触发器 主从触发器

  2. 基本触发器 钟控触发器 主从触发器 边沿触发器 集成触发器 普通门电路不能保持输出状态,即没有记忆功能; 触发器──能够存贮1位二值信号的基本单元电路。 FF的基本特点: ①有两个能自行保持的稳态(1、0); ②可根据不同的输入信号进行置0或置1; ③状态的保持与输入信号无关; 基本FF──也叫基本RS—FF,是最简单的FF ,很少单独使用,它是其它复杂触发器的构成单元,或作异步置位,复位端使用。
  3. 所属分类:专业指导

    • 发布日期:2011-04-25
    • 文件大小:4194304
    • 提供者:fangyufeng0302
  1. EDA课程设计

  2. 程序可行library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mudecoder is port(binaryin:in std_logic_vector(1 to 5); --5位二进制码的输入端口 bcdout1:out std_logic_vector(1 to 7); --七段译码器输出端口 bcdout2:out
  3. 所属分类:专业指导

    • 发布日期:2012-06-05
    • 文件大小:14336
    • 提供者:huangruip
  1. 50进制计数器

  2. 50进制计数器,异步置数,同步置位,CLK RST RET都是低电平触发,个位十位同时计数,带CY输出。代码中有解释,啥也不说了,都在WORD里了。
  3. 所属分类:硬件开发

    • 发布日期:2012-09-20
    • 文件大小:14336
    • 提供者:mehollove
  1. VHDL 应用教程

  2. 学习VHDL的入门书籍 目录 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA.................................................................................................
  3. 所属分类:专业指导

    • 发布日期:2013-02-25
    • 文件大小:4194304
    • 提供者:trondai
  1. multisim12清华大学本科教育所用的例子

  2. 本人亲测,都可以用。自己也是学电子的,所以好的资料就分享出来,希望对你有用。 主要包括: 模拟部分: MD1 1-1 二极管加正向电压 1-2 二极管加反向电压 1-3 IV法测二极管伏安特性 1-4 用万用表检测二极管 1-5 例1.2.1电路 1-6 直流和交流电源同时作用于二极管 1-7 半波整流电路 1-8 全波整流电路 1-9 单向限幅电路 1-10 双向限幅电路 1-11 底部钳位电路 1-12 顶部钳位电路 1-13 振幅解调电路 1-14 振幅调制电路 1-15 稳压二极管稳压
  3. 所属分类:硬件开发

    • 发布日期:2013-03-29
    • 文件大小:39845888
    • 提供者:xmlizzy
  1. 带有异步置位复位端的上升沿触发的JK触发器带波形图

  2. 修改了以前网络上错误的vhdl代码,带有异步置位复位端的上升沿触发的JK触发器并附带仿真波形图
  3. 所属分类:硬件开发

    • 发布日期:2013-06-12
    • 文件大小:162816
    • 提供者:liujinjun681
  1. ewb multisim 仿真实例电路图全集

  2. 多年收集的ewb和multisim电子电路仿真实例文件,压缩后有50多兆。 文件列表 ├─仿真实验 │ 555.ms10 │ Circuit1.ms10 │ Circuit2.ms10 │ CLOCK.ms10 │ FileList.txt │ 实验2.ms10 │ 实验3-一阶有源低通滤电路.ms10 │ 实验3-减法运算电路.ms10 │ 实验3-反相加法运算电路.ms10 │ 实验3-反相比例运算电路.ms10 │ 实验3-反相积分运算电路.ms10 │ 实验3-微分运算电路.ms10
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:55574528
    • 提供者:freedom366
  1. Verilog4位寄存器程序(可调时钟周期)

  2. Verilog FPGA 4位寄存器 异步清零 同步置数 可调时钟周期
  3. 所属分类:其它

    • 发布日期:2018-04-22
    • 文件大小:150528
    • 提供者:weixin_39603637
  1. 施耐德-ATV32同步与异步电机变频器产品目录.pdf

  2. 施耐德-ATV32同步与异步电机变频器产品目录pdf,施耐德变频器ATV32介绍: 1、人机界面 4位显示器 1 显示变频器的状态、故障和参数值。 导航按钮 2 可用于浏览菜单、修改参数值和在本地模式下改变电机速度。   2、人机界面终端 ATV 32变频器可被连接至远程显示终端 4 或远程图形显示终端 3,这两种终端作为选 件提供。 远程终端可被安装在一个具有IP 54或IP 65保护等级的机柜门上。它能够提供与人机 界面相同的功能访问。 远程图形显示终端能够以客户语言来显示文本,为配置、调试
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:2097152
    • 提供者:weixin_38743481
  1. 单片机与DSP中的富士通推出3款内置闪存的32位车载微控制器新品

  2. 富士通推出了用于车身控制的“MB91F464AA”、“MB91F465KA”以及用于车辆信息系统控制的“MB91F467RA”3款内置闪存32位车载微控制器产品。    3种产品均支持LAN接口规格“CAN”,作为内置闪存的微控制器,最大工作频率达业内最高80MHz。另外,配有支持后视镜及汽车雨刷等控制系统采用的车内LAN接口规格“LIN”的UART异步端口等接口。    100端子的MB91F464AA配备384KB闪存,120端子的MB91F465KA配备512KB闪存,176端子的MB91
  3. 所属分类:其它

    • 发布日期:2020-11-27
    • 文件大小:25600
    • 提供者:weixin_38689976
  1. 单片机与DSP中的富士通推新品内置32位车载微控制器

  2. 富士通推出了用于车身控制的“MB91F464AA”、“MB91F465KA”以及用于车辆信息系统控制的“MB91F467RA”3款内置闪存32位车载微控制器产品。    3种产品均支持LAN接口规格“CAN”,作为内置闪存的微控制器,最大工作频率达业内最高80MHz。另外,配有支持后视镜及汽车雨刷等控制系统采用的车内LAN接口规格“LIN”的UART异步端口等接口。    100端子的MB91F464AA配备384KB闪存,120端子的MB91F465KA配备512KB闪存,176端子的MB91
  3. 所属分类:其它

    • 发布日期:2020-11-27
    • 文件大小:24576
    • 提供者:weixin_38526914
  1. 元器件应用中的富士通推出32位RISC微控制器..

  2. 3月29日,富士通微电子公司宣布推出32位精简指令集(RISC)微控制器系列产品。该系列产品的工作电压为3.3伏,内含外部总线,用于扩展内存或直接连接图形显示控制器。    这些新型微控制器采用的是强劲的FR60内核,运行时速率可达到50MHz,拥有一条5阶流水线、16位固定长度指令集、一个内置硬件乘法器以及一个桶式移位器。这些微控制器是专为嵌入式控制产品(包括数字家电)而设计的,用途十分广泛。   这些微控制器集成了512KB的闪存和24KB的SRAM。通用的外部接口可以让我们直接使用16位S
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:59392
    • 提供者:weixin_38688906
  1. 西安航天民芯MT3608 SOT23-6 异步升压IC DC-DC转换器.pdf

  2. 西安航天民芯 DCDC转换器 异步升压芯片 MT3608 SOT23-6 可代替兼容市面LTI3608 LN3608 TD8208 SY6289 SY7208 SDB628 SX1308 ZCC3710 PL2628 HX3608 SY7152 HX7208 TP3605等型号 ■ 产品概述 MT3608 是一款微小型、高效率、升压型 DC/DC 调整器。电路由电流模 PWM 控制环路,误差放大器,斜波补偿电路, 比较器和功率开关等模块组成。该芯片可在较宽负载范围内高效稳定的工作,内置一个 4A
  3. 所属分类:其它

    • 发布日期:2021-03-04
    • 文件大小:782336
    • 提供者:runzexin
  1. fluent-bit-docs:fluent位-官方文档-源码

  2. 描述 高性能日志处理器 Fluent Bit v1.7文档 是用于Linux,OSX,Windows和BSD系列操作系统的快速轻量级日志处理器,流处理器和转发器。 它非常注重性能,以允许从不同来源收集事件而没有复杂性。 特征 高性能 资料解析使用我们的解析器转换您的非结构化消息: , , 和 可靠性和数据完整性 处理 内存和文件系统中的 联网 安全性:内置TLS / SSL支持 异步I / O 可插拔架构和:输入,过滤器和输出 超过50种内置插件可用 可扩展性 用C语言编写任何输入,过
  3. 所属分类:其它

    • 发布日期:2021-02-28
    • 文件大小:3145728
    • 提供者:weixin_42138545
  1. Seckill:ByteCamp 2019高并发高可用秒杀系统设计与实现工程赛道三等奖(字节跳动夏令营营营在6000+中排名前150位,在16位中排名前3位,秒杀赛场排名前1位)-源码

  2. 高并发高可用秒杀系统设计与实现 项目背景 5台2核CPU 8 G内存机器 所有接口以1s超时作为压测加权 6000万商品数据 500万正常用户 恶意流量直接返回403 接口 功能描述 /产品 通过商品ID查询商品信息 /命令 下单接口返回订单号 /支付 支付订单 /结果 查询全部订单 /重置 多轮压测间 整体架构 服务器1:MySQL 服务器2:Nginx,Tomcat 服务器3:Tomcat 服务器4:Tomcat 服务器5:Redis 技术挑战 服务需要支持横向扩容 并发资源争夺:超卖,少卖
  3. 所属分类:其它

    • 发布日期:2021-02-14
    • 文件大小:1048576
    • 提供者:weixin_42132359
  1. 用中规模集成电路连接一个3位十进制数字显示器

  2. 逻辑电路图如下图所示。这是用三个可予置二进制计数器和控制门组成的三位异步十进制数计数器,利用4位二进制计数器可预置数的功能,实现10进制计数。计数脉冲CP加到个位计数器的时钟输入端,当计数器计数到Q3Q2Q1Q0=1001时,LD=0,在第10个时钟脉冲↑到来时,计数器接收零(∵D3D2D1D0=0000)。LD信号经过两个与门的传送延迟(相等于一个时钟周期),作为向相邻高位计数器发出的进位脉冲,使该计数器进行加1计数,作到逢10进1。当前一个计数器第十个CP到来后,本计数器置数为零,同时向相邻
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:83968
    • 提供者:weixin_38500630
  1. 流利的位:适用于Linux,BSD和OSX的快速轻量级日志处理器和转发器-源码

  2. 特拉维斯CI: 是用于Linux,嵌入式Linux,MacOS和BSD系列操作系统的快速日志处理器和转发器。 它是生态系统和子项目的一部分。 Fluent Bit允许从不同来源收集日志事件或指标,对其进行处理并将其交付给不同的后端,例如 ,Elasticsearch,NATS,InfluxDB或其他内部的任何自定义HTTP端点。 此外,Fluent Bit具有完整的功能:使用SQL查询进行数据处理和分析。 Fluent Bit在x86_64,x86,arm32v7和arm64v8体系结
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:15728640
    • 提供者:weixin_42130862
« 12 3 4 5 6 7 8 9 10 »