您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 局域网抢答软件V1.0

  2. 1.本软件可以运行于普通PC机,使之成为软件抢答器。以代替传统的专用硬件抢答器。省去了用户购买硬件抢答器的昂贵费用。 2.本软件界面美观,通过红、绿、黄三种颜色表示客户端的三种状态。并且能实时显示每个参赛队抢答所用时间。抢答获胜的参赛队将显示在下面的“抢答结果”位置,同时还有声音提示。 3.客户端的数量可由用户自行设置,默认情况下最多为10台。如果用户在实际使用中还不够,可以联系作者增加。 4.在服务器端点击“抢答开始”后,客户端才能发送抢答,避免了选手犯规的可能。 5.客户端发送抢答,只需按
  3. 所属分类:专业指导

    • 发布日期:2009-04-29
    • 文件大小:1048576
    • 提供者:abcdef8571
  1. 电子智能抢答器(单片机)

  2. 设计主要包括硬件和软件两大部分,依据控制系统的工作原理和技术性能,将硬件和软件分开设计
  3. 所属分类:硬件开发

    • 发布日期:2009-05-03
    • 文件大小:250880
    • 提供者:qqqfwx
  1. 用c#做的一个纯软件抢答器

  2. 采用usb键盘作为抢答工具…… 4个usb键盘插到usb hub上,利用usb延长线延长 每个键盘指定一个按键作为抢答按键(默认是1234) 抢答器的原理是记录第一个按键 下了的兄弟给点分吧
  3. 所属分类:C#

    • 发布日期:2009-05-03
    • 文件大小:1048576
    • 提供者:cxlyx
  1. 可定时的八路数显抢答器

  2. 实验要求 1.掌握可定时的八路数显抢答器的工作原理及其设计方法; 2.进一步熟悉74系列常用中规模集成芯片的应用,提高对硬件电路的分析能力; 3.进一步熟悉QartusII软件的使用; 4.学习较复杂的数字系统文本输入(VHDL、Veril HDL)和原理图输入的设计方法
  3. 所属分类:嵌入式

    • 发布日期:2009-05-23
    • 文件大小:76800
    • 提供者:qxstone
  1. 基于VHDL语言的8路抢答器控制系统设计

  2. :EDA技术的应用引起了电子产品系统开发的革命性变革。利用先进的EDA 工具,基于硬件描述语言,借助CPLD(复杂的可 编程逻辑器件).可以进行系统级数字逻辑电路的设计。本文以8路抢答器为例,介绍了在Max+plus II开发软件下,利用VHDL语言设 计数字逻辑电路的过程和方法
  3. 所属分类:嵌入式

    • 发布日期:2009-07-17
    • 文件大小:144384
    • 提供者:xingxing3477
  1. 基于单片机的八路抢答器设计与实现

  2. 以AT89S51单片机为核心器件,设计实现了八路抢答器系统.软件仿真和硬件实现的 结果表明该系统实现了八路抢答器的功能.具有反应快、操作简便、实用性强等特点.
  3. 所属分类:硬件开发

    • 发布日期:2009-08-03
    • 文件大小:196608
    • 提供者:wqs361
  1. 十四路无线摇控数显抢答器的设计与制作

  2. 本文介绍了无线数显抢答器的设计与制作,内有电路图,系统采用F06A、J06A作发射与接收模块,采用单片机作控制部件,使电路结构简单,制作容易,成本低,抢答按钮与显示主机无线连接,使用灵活方便。无需更改硬件电路,仅通过修改软件即可改变有关显示参数和功能,因而实用性较强.。。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-29
    • 文件大小:64512
    • 提供者:shiyun123
  1. 福建师范大学多路抢答器毕业设计

  2. 单片机的8路抢答器的开发与设计,内部包括所用芯片,详细的源代码,是采用keil软件防真实现,具体实物非常简单.
  3. 所属分类:硬件开发

    • 发布日期:2009-11-29
    • 文件大小:2097152
    • 提供者:yechenming2010
  1. 课程设计:多功能智力竞赛抢答器的设计与实现

  2. 目 录 1绪论 2 2需求分析………………………………………………………………………………3 2.1方案一 3 2.1.1硬件选择及说明 3 2.1.2原理框图及原理 3 2.1.3 经济及应用论证 5 2.2方案二 5 2.2.1硬件选择及说明 5 2.2.2原理框图及原理 5 2.2.3经济及应用论证 6 3总体设计 7 3.1总体设计框图 7 3.2系统工作原理 7 3.3总体设计工作原理图(见附录) 7 4硬件设计 8 4.1键盘显示模块电路图 8 4.1.1键盘扫描模块功能 8 4.
  3. 所属分类:专业指导

    • 发布日期:2010-01-01
    • 文件大小:237568
    • 提供者:f10683
  1. 多路智能竞赛抢答器设计课程设计

  2. 这是关于电子技术基础数字部分的课程设计,其主题为多路智能竞赛抢答器。课设中运用了Proteus软件对所设计的电路进行了仿真验证,符合课设要求。这里仅供大家参考,本课设格式基本正确,希望大家尽快学会自己去做课程设计,我在这里只是抛砖引玉。水平有限,请大家指正。
  3. 所属分类:嵌入式

    • 发布日期:2010-03-03
    • 文件大小:1025024
    • 提供者:jzlaemmawatson
  1. 红外遥控多路抢答器的设计

  2. 红外遥控多路抢答器的设计 介绍基于红外遥控抢答输入和单片机控制的多路抢答器的设计,给出系统的硬件组成 和硬软件设计方法。
  3. 所属分类:硬件开发

    • 发布日期:2010-03-29
    • 文件大小:143360
    • 提供者:binsh
  1. 星空知识竞赛软件 免费版

  2. 本软件可实现专业的竞赛活动效果,通过大屏比赛现场直播,给观众强烈的视听感受,提振比赛气氛。(别名:电脑选题软件、竞赛抢答软件、电脑抢答器软件) 适用:各机关单位、学校师生竞赛比赛、知识竞赛活动、行业人才选拔、文艺晚会答题选题、及娱乐使用等 功能:文字题支持《选择题》、《判断题》、《简答题》、《填空题》等,题库维护方便,支持XLS格式题库,另支持图片题、音视频题、自定义格式题目flash、ppt、word 等。 支持:抢答功能、答题倒计时功能、语音倒计时报警功能,抽签功能。 特色:简洁、美观、稳
  3. 所属分类:教育

    • 发布日期:2012-07-05
    • 文件大小:23068672
    • 提供者:c84077905
  1. 抢答器,竞赛抢答器,定时抢答器

  2. 抢答器,电子抢答器,电脑抢答器,抢答设备,抢答软件
  3. 所属分类:专业指导

    • 发布日期:2013-03-06
    • 文件大小:2048
    • 提供者:ingertech
  1. 局域网抢答软件

  2. 方便的局域网抢答软件,设置一台计算机为服务器,多台计算机为客户端,通过局域网联接便能实现抢答功能。
  3. 所属分类:软件测试

    • 发布日期:2014-11-22
    • 文件大小:899072
    • 提供者:ganyusheng524
  1. 知识竞赛网络抢答软件

  2. 知识竞赛网络抢答软件是宇翔科技工作室开发的的基于局域网的抢答器软件。点击鼠标即可进行试题抢答、计时、计分、现场音效、答对答错音效等功能的知识竞赛抢答器软件。适合各行业知识竞赛、智力竞赛、技能竞赛、科技馆、博物馆、展览馆互动抢答,支持2-12个代表队、1个主持人席和若干仲裁席同时参与。 功能概述: 1.可以通过有线局域网或者无线局域网进行联网,通过主控计算机进行代表队计算机控制。 2.主控计算机知识竞赛软件可以通过软件向所有代表队、主持人席、仲裁席发指令,各终端自动解析指令进行自动操作。 3.主
  3. 所属分类:C++

    • 发布日期:2017-05-29
    • 文件大小:4194304
    • 提供者:skysonya_shisy
  1. 抢答软件免费版

  2. 公司举办一些知识竞赛活动用得上,体验还不错,还可以跟抢答器配合使用,当然抢答器需要去淘宝买,软件里有店家地址
  3. 所属分类:互联网

    • 发布日期:2018-08-21
    • 文件大小:50331648
    • 提供者:damaichafg
  1. 知识抢答系统 v4.2.zip

  2. 知识抢答系统是一款专业的电脑知识抢答软件,可以连接专业的抢答设备,能够准确、公正、灵活开展各种抢答活动与比赛。 软件可以自由性高,可以自定义标题、背景图片、参赛队伍、倒计时、基础分等抢答活动与比赛中的各种要素;出题可以由人工以标签的形式抽题,也可以由电脑自动随机出题,方式灵活,不出现重复的题;题库可以自由编辑;可以根据需要对比赛结果自动排名显示。界面有各台号对应的得分,抢答中对范规自动判断并扣分,正常抢到后语音提示抢到的台号答题;软件界面简洁,操作简单,成本低,可轻松上手,是开展电脑知识抢答活
  3. 所属分类:其它

    • 发布日期:2019-07-13
    • 文件大小:22020096
    • 提供者:weixin_39840588
  1. 抢答器 手机抢答器答题竞赛系统 v12.2

  2. 手机抢答器答题竞赛系统是一个抢答软件而是集成了必答题、抢答题、风险题、看图题的知识竞赛系统。实现15内个队名随意编辑、自动排名、题库自由录入(题库复制输入时不能含有
  3. 所属分类:其它

    • 发布日期:2020-10-27
    • 文件大小:42991616
    • 提供者:weixin_38623707
  1. 抢答软件 手机抢答器软件 v12.2 TCP版

  2. 本软件虽然叫抢答器,其实它已经超出了抢答器的意义,是集成了必答题、抢答题、风险题、看图题的知识竞赛系统。实现20内个队名随意编辑、自动排名、题库自由录入等功能。 本软
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:47185920
    • 提供者:weixin_38557530
  1. 知识竞赛题库软件 知识抢答系统 v5.5

  2. 知识抢答系统软件是一款电脑知识抢答软件,可以用于机关、企事业单位等各种机构的知识竞赛活动,软件具有有效、公平、灵活、成本低廉以及界面美观大方的优点。知识抢答系统软
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:33554432
    • 提供者:weixin_38633083
« 12 3 4 5 6 7 »