您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 最经典的FPGA编写的矩阵式按键

  2. 最经典的FPGA编写的矩阵式按键,verilog 编写的矩阵式按键,带按键去抖,按键释放
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:111616
    • 提供者:xuhoule2007
  1. 按键去除抖动的相关介绍

  2. 机械开关按下时机械触点接触不可靠,在动作初期会产生多次抖动,从而对其后的电路形成多次输入 ,有可能使电路输出不正确。因此有必要设计一个按钮消抖电路,将抖动屏蔽掉
  3. 所属分类:专业指导

    • 发布日期:2009-10-30
    • 文件大小:113664
    • 提供者:gogowld
  1. 按键去抖,软硬件,逻辑开关

  2. , 系统设计者们提出了各种防御措施。 MAXIM最近推出的 MAX6816 系列产品能够为上述接口问题提供无差错、无需软件参与的去抖动及 ESD 和过压保 护功能。
  3. 所属分类:专业指导

    • 发布日期:2009-12-03
    • 文件大小:246784
    • 提供者:guo88455648
  1. ok6410按键中断驱动程序

  2. 使用的是静态主设备号 附带了定时器延时去抖功能
  3. 所属分类:硬件开发

    • 发布日期:2011-11-27
    • 文件大小:8192
    • 提供者:alan00000
  1. 单片机按键去抖

  2. 硬件按键去抖电路分析 参考单片机 常用的非编码键盘,每个键都是一个常开开关电路
  3. 所属分类:硬件开发

    • 发布日期:2012-07-20
    • 文件大小:43008
    • 提供者:manjiao4651538
  1. 按键去抖程序

  2. 使用verilog语言实现按键开关的去抖程序(实验成功的)
  3. 所属分类:硬件开发

    • 发布日期:2012-11-20
    • 文件大小:1024
    • 提供者:xie523948885
  1. 51单片机用定时器进行按键去抖

  2. C语言编写的51单片机按键去抖程序,不是延时去抖,是定时器去抖。
  3. 所属分类:硬件开发

    • 发布日期:2012-12-27
    • 文件大小:29696
    • 提供者:worldzhy
  1. 按键消抖 VHDL

  2. 按键消抖 VHDL按键去抖模块,避免按键抖动引起的系统误操作
  3. 所属分类:嵌入式

    • 发布日期:2013-05-16
    • 文件大小:2048
    • 提供者:huangmubin
  1. 一键多功能按键识别技术(去抖)

  2. 一键多功能按键识别技术(去抖)
  3. 所属分类:硬件开发

    • 发布日期:2013-09-09
    • 文件大小:24576
    • 提供者:shark_bin
  1. verilog按键去抖程序

  2. 一个完整的按键去抖程序,用Verilog编写的,经过测试 好用
  3. 所属分类:硬件开发

  1. 按键去抖动

  2. 按键去抖 去抖动算法模块 verilog
  3. 所属分类:硬件开发

    • 发布日期:2014-06-02
    • 文件大小:3072
    • 提供者:yan363282186
  1. CPLD或FPGA开关量信号去抖动

  2. 自己写的程序,在CPLD上已调试通过,顶层是一个原理图文件,还有两个VHDL语言写的模块,一个用于内部时钟分频,一个用于去抖。
  3. 所属分类:硬件开发

    • 发布日期:2015-05-07
    • 文件大小:215040
    • 提供者:hama917
  1. 单片机标志的用法按键控制LED

  2. 单片机标志的用法,用一个按键控制1个LED灯的亮和灭,按键去抖,
  3. 所属分类:硬件开发

    • 发布日期:2009-03-30
    • 文件大小:661
    • 提供者:mnz110
  1. 按键计数器+按键去抖(Verilog HDL)

  2. 基于Quartus13.0的EDA实验程序, 1. 设计一个10进制计数器,用七段数码管显示计数器的数值, 以开发板上1个按键作为计数器的时钟输入,按键每按动 一次,相当于产生“一个时钟脉冲”,观察开关抖动情况。 2. 设计一个去抖电路,按键信号经去抖以后再作为计数器的 时钟输入,观察去抖效果。
  3. 所属分类:其它

    • 发布日期:2018-05-10
    • 文件大小:3145728
    • 提供者:qq_28662831
  1. Verilog时钟(按键去抖功能)

  2. 基于Verilog的时钟,带按键去抖、闹铃、报时等功能,内附详细代码解释
  3. 所属分类:其它

    • 发布日期:2018-06-19
    • 文件大小:9216
    • 提供者:qq_37686022
  1. 状态机按键——非常好的软件去抖程序

  2. C语言编写的状态机按键,去抖效果好,支持长按设定,附有.c和.h文件,放入工程中引用头文件即可使用(先判定按下哪个按键,再返回该按键的具体状态——无效、短按、长按)
  3. 所属分类:C

    • 发布日期:2018-06-21
    • 文件大小:1024
    • 提供者:qq_26664187
  1. 浅谈单片机的按键去抖问题

  2. 本文是我用C51语言写的一个按键去抖程序,大家定会问为什么要去抖呢,说到这里,我要说下去现在去抖的方法有几种,去抖有两种方式,一种是硬件去抖,一种是软件去抖,硬件去抖是加一个电容就可以了,这要加大成本,和电路的复杂性。我们在开发C51的时候一般最常用的是软件去抖。下面看这个矩阵键盘的去抖程序吧。
  3. 所属分类:其它

    • 发布日期:2020-07-28
    • 文件大小:31744
    • 提供者:weixin_38628953
  1. FPGA按键去抖程序

  2. 代码是特权同学的,我将其理解后加上了注释。去抖的原理和单片机是一样的,即通过延时来过滤掉按键抖动产生的毛刺信号。不同的是判断按键按下的条件不同,单片机通常是已知按键不按时IO口的电平(如高电平),当IO口电平发生改变时(如低电平),则开启定时器进行延时,延时20ms后再次读取IO口的电平,若仍为低电平,则说明有按键按下;若为高电平,则说明是按键抖动,没有按键按下。
  3. 所属分类:其它

    • 发布日期:2020-08-18
    • 文件大小:49152
    • 提供者:weixin_38637093
  1. Maxim推出串行接口按键开关控制器

  2. Maxim推出MAX7359 2线式接口、低EMI按键开关控制器,可检测多达64个按键的按下和弹起。为实现这一功能,MAX7359为每个按键的按下和弹起提供了独立的代码并保存于FIFO寄存器中,从而实现对多按键开关的监视。为降低整体系统成本,MAX7359减轻了软件开发的负荷,并集成了去抖电路,无需任何移位寄存器和RC滤波器。由于采用极具成本效率和易于使用的方案检测多按键的按下和弹起,使得MAX7359对于智能电话键盘、游戏控制和其他任何需要多按键输入检测的便携式应用都非常理想。   MAX
  3. 所属分类:其它

    • 发布日期:2020-11-30
    • 文件大小:41984
    • 提供者:weixin_38625192
  1. angular.js和vue.js中实现函数去抖示例(debounce)

  2. 问题描述 搜索输入框中,只当用户停止输入后,才进行后续的操作,比如发起Http请求等。 学过电子电路的同学应该知道按键防抖。原理是一样的:就是说当调用动作n毫秒后,才会执行该动作,若在这n毫秒内又调用此动作则将重新计算执行时间。本文将分别探讨在angular.js和vue.js中如何实现对用户输入的防抖。 angular.js中解决方案 把去抖函数写成一个service,方便多处调用: .factory('debounce', ['$timeout','$q', function($tim
  3. 所属分类:其它

    • 发布日期:2020-11-29
    • 文件大小:37888
    • 提供者:weixin_38677260
« 12 3 4 5 »