您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的数字时钟的设计

  2. 随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能.本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧.在QuartusⅡ开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况.仿真和验证的结果表明,该设计方法切实可行,该数字时钟
  3. 所属分类:嵌入式

    • 发布日期:2009-05-30
    • 文件大小:293888
    • 提供者:armxing
  1. 基于FPGA的数字时钟的设计

  2. :在Q-砒.sⅡ开发环境下,用Verilog HDL硬件描述语言设计了一个可以在FPGA芯片上实现的数字 时钟.通过将设计代码下载到FPGA的开发平台Ahera DE2开发板上进行了功能验证.由于数字时钟的通用性 及Verilog HDL语言的可移植性,冈此本数字时钟可直接应用于各种不同系列的FPGA芯片的设计中.
  3. 所属分类:硬件开发

    • 发布日期:2010-06-24
    • 文件大小:171008
    • 提供者:wangs001
  1. VC++ MFC制作数字时钟、图形时钟、秒表集成程序

  2. VC++6.0开发环境 MFC框架制作 包括数字时钟、表盘时钟、秒表程序
  3. 所属分类:C++

    • 发布日期:2011-08-29
    • 文件大小:3145728
    • 提供者:wenyusheng
  1. 用MFC写的数字时钟程序

  2. 用MFC写的数字时钟程序,只需修改一小部分,即可变成自己的时钟并且获得对于开发MFC程序的一个初步了解。
  3. 所属分类:其它

    • 发布日期:2012-05-05
    • 文件大小:817152
    • 提供者:huangshiruge
  1. 数字时钟论文+仿真+程序

  2. 很详细的数字时钟开发方案,适合本科毕业论文的设计
  3. 所属分类:硬件开发

    • 发布日期:2012-05-25
    • 文件大小:1048576
    • 提供者:engineerdream
  1. 数字时钟开发

  2. 设计一个接口与七段LED显示器,显示一个计时时钟,显示初值为0,每隔一秒改变一次显示值,60s为一分钟,60min为一小时,LED显示器循环显示时、分、秒的动态值。
  3. 所属分类:硬件开发

    • 发布日期:2013-01-13
    • 文件大小:531456
    • 提供者:caigenxiaojiang
  1. vc++2010数字数字时钟

  2. 是数字时钟模拟程序,其中有图像界面,数字时钟等等!适用于学习交流用的不用于商业和开发运用!
  3. 所属分类:C++

    • 发布日期:2013-11-20
    • 文件大小:51200
    • 提供者:u012443335
  1. FPGA数字时钟代码与原理

  2. 基于FPGA的数字时钟代码与原理,每一步的开发过程与原理都有。在数码管实时显示时钟。
  3. 所属分类:硬件开发

    • 发布日期:2013-12-22
    • 文件大小:58368
    • 提供者:mykeylock
  1. Windows数字时钟

  2. 一个用Windows API开发的数字时钟,效果非常好
  3. 所属分类:C

    • 发布日期:2014-02-21
    • 文件大小:1048576
    • 提供者:u010105970
  1. 基于lpc2136的数字时钟

  2. 基于lpc2136开发的数字时钟 具有时间设置功能; 五个按键功能分布: KEY1 P0 26 小时加 KEY2 P0 27 分钟加 KEY3 P0 28 第一次按下 进入时间设置状态;再按保存 当前设置时间 KEY4 P0 29 第一次按下 进入闹钟设置状态;再按保存 当前设置时间 KEY5 P0 30 停止闹钟">基于lpc2136开发的数字时钟 具有时间设置功能; 五个按键功能分布: KEY1 P0 26 小时加 KEY2 P0 27 分钟加 KEY3 P0 28 第一次按下 进入
  3. 所属分类:C

    • 发布日期:2014-03-16
    • 文件大小:63488
    • 提供者:u010076074
  1. 数字时钟的实现(verilog版)

  2. 本代码是基于FPGA编写的,采用的开发语言是verilog语言,实现的是一个数字时钟:包括小时、分钟、秒,包括对数字时钟的时间调节等动能!
  3. 所属分类:硬件开发

    • 发布日期:2014-03-28
    • 文件大小:14336
    • 提供者:xiong0805044105
  1. android仿锤子数字时钟

  2. android仿锤子数字时钟。上一次这个例子有点小bug修改了。再上传。本人博客是:day_moon开发工具是e
  3. 所属分类:Android

    • 发布日期:2017-01-02
    • 文件大小:1048576
    • 提供者:day_moon
  1. 基于nexys4 ddr的数字时钟

  2. 利用nexys4开发板实现数字时钟、秒表和闹钟。利用拨码开关选择对应功能。开发环境Vivado2015.2
  3. 所属分类:硬件开发

    • 发布日期:2017-12-08
    • 文件大小:2097152
    • 提供者:teenagerold
  1. 单片机开发0099、基于汇编语言的数字时钟.zip

  2. 单片机开发0099、基于汇编语言的数字时钟.zip
  3. 所属分类:嵌入式

    • 发布日期:2020-04-09
    • 文件大小:124928
    • 提供者:pengxiaotu
  1. 单片机开发0098、多功能数字时钟设计资料.zip

  2. 单片机开发0098、多功能数字时钟设计资料.zip
  3. 所属分类:嵌入式

    • 发布日期:2020-04-09
    • 文件大小:106496
    • 提供者:pengxiaotu
  1. 单片机开发0016、多功能数字时钟设计论文资料.zip

  2. 单片机开发0016、多功能数字时钟设计论文资料.zip
  3. 所属分类:嵌入式

    • 发布日期:2020-04-09
    • 文件大小:74752
    • 提供者:pengxiaotu
  1. 基于FPGA设计数字时钟(ego1开发板)

  2. 基于FPGA设计数字时钟(ego1开发板),使用vivado2018.1开发
  3. 所属分类:硬件开发

    • 发布日期:2020-12-20
    • 文件大小:19922944
    • 提供者:qq_44404407
  1. 基于FPGA的数字时钟实现

  2. 基于FPGA的数字时钟实现:有校时、闹钟响铃等功能。用开发板具体功能可实现。开发板型号ALINX
  3. 所属分类:嵌入式

    • 发布日期:2021-03-06
    • 文件大小:4194304
    • 提供者:rsy_6
  1. 数字时钟应用程序:数字时钟-源码

  2. 数字时钟应用 简单易用的纯数字时钟应用程序,完全由HTML,CSS和Javascr ipt制成 概括 简单的数字时钟应用程序,您可以在其中查看您的当地时间或单击“军事时间”按钮以显示军事时间。 作者 Jonathan Jimenez-全栈软件开发人员
  3. 所属分类:其它

    • 发布日期:2021-02-16
    • 文件大小:133120
    • 提供者:weixin_42126865
  1. evangelion-clock-screensaver:受Neon Genesis Evengelion图形界面启发的数字时钟屏保-源码

  2. 新世纪福音战士时钟屏保 Mac的数字时钟屏幕保护程序,其灵感来自Neon Genesis Evengelion的图形界面。 它以小时,分钟和秒的形式显示时间,并且有两种样式。 正常 红 屏幕保护程序中的文字: 活动限界まで(剩余活动时间) 内部(内部) 主エネルギー供给システム(主要能源供给系统) やめる(停止) スロー(慢) 正常(Normal) レース(赛车) 安装 从发布页面下载的屏幕保护程序。 双击解压缩ZIP文件。 通过双击扩展名为.saver的文件来安装屏幕保护程序。 可
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:23068672
    • 提供者:weixin_42100129
« 12 3 4 5 6 7 8 9 10 ... 28 »