您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL_分频器 支持任意整数分频

  2. 一个简单的时钟分频器 VHDL语言写的
  3. 所属分类:其它

  1. 任意整数分频的VHDL代码

  2. 任意整数分频的VHDL代码,任意整数分频的VHDL代码
  3. 所属分类:其它

    • 发布日期:2010-06-04
    • 文件大小:1024
    • 提供者:superdir
  1. 任意整数占空比50%分频器vhdl

  2. 学习期间写的,参考了书上的例程 该代码实现了1~255整数分频,不管偶数奇数都可实现50%占空比
  3. 所属分类:其它

    • 发布日期:2010-07-03
    • 文件大小:288768
    • 提供者:nwpu1686
  1. 基于VHDL的半整数分频器的设计

  2. 基于VHDL的半整数分频器的设计 基于VHDL的半整数分频器的设计 基于VHDL的半整数分频器的设计 基于VHDL的半整数分频器的设计
  3. 所属分类:硬件开发

  1. 基于VHDL占空比可控的整数半整数分频器

  2. 描述了如何用VHDL设计整数 半整数 分频器 占空比可调
  3. 所属分类:嵌入式

    • 发布日期:2011-03-26
    • 文件大小:521216
    • 提供者:panpanlei
  1. 使用VHDL进行可变分频器设计

  2. 使用VHDL进行分频器设计,包括约翰逊计数器、普通计数器、奇偶分频器、半整数分频器、小数、分数、积分分频器设计
  3. 所属分类:硬件开发

    • 发布日期:2011-04-12
    • 文件大小:421888
    • 提供者:hhysf
  1. vhdl任意整数分频模块

  2. vhdl任意整数分频模块,功能:对输入时钟clock进行F_DIV倍分频后输出clk_out。,--//若分频系数为偶数,则输出时钟占空比为50%; --//若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分 --//频系数(当输入为50%时,输出也是50%)。
  3. 所属分类:专业指导

    • 发布日期:2011-05-13
    • 文件大小:2048
    • 提供者:renyanyang1989
  1. vhdl 实现奇偶数分频,即任意整数分频

  2. vhdl 实现奇偶数分频,即任意分频。文件为用quartus ii 10.0 建立工程,使用modelsim仿真
  3. 所属分类:嵌入式

    • 发布日期:2011-08-04
    • 文件大小:681984
    • 提供者:fuck_csnd
  1. 实现任意整数分频的VHDL代码

  2. 实现任意整数分频的VHDL代码,很实用的代码
  3. 所属分类:硬件开发

    • 发布日期:2012-10-16
    • 文件大小:830
    • 提供者:phd11
  1. 任意整数分频器 vhdl

  2. 任意整数分频器 vhdl描述,简单通用,发烧友常用代码。
  3. 所属分类:硬件开发

    • 发布日期:2012-10-18
    • 文件大小:1024
    • 提供者:phd11
  1. wxhg实现任意整数分频的VHDL代码

  2. 实现任意整数分频的VHDL代码实现任意整数分频的VHDL代码实现任意整数分频的VHDL代码
  3. 所属分类:其它

    • 发布日期:2007-01-24
    • 文件大小:830
    • 提供者:wxhanshan
  1. 半整数分频器

  2. 用VHDL语言设计的2.5倍分频器,同时保证了占空比为50%
  3. 所属分类:硬件开发

    • 发布日期:2014-09-16
    • 文件大小:727
    • 提供者:qq_20908835
  1. VHDL分频器设计

  2. VHDL语言的分频器设计,包括整数分频,小数分频,偶数分频,奇数分频,半整数分频等。
  3. 所属分类:其它

    • 发布日期:2015-06-07
    • 文件大小:421888
    • 提供者:hejunbo790522
  1. 小数分频VHDL代码.pdf

  2. 小数分频VHDL代码.pdf (145.33 KB, 下载次数: 249 )d arch 2:fdn,任意整数分频器(分步系数2--15,可自行修改代码増增加分频系数) library ieee use ieee std logic 1164.all use ieee std logic unsigned. all entity fdn is port lock in std log enable in std logic n of fd in std logic vector (3 downt
  3. 所属分类:专业指导

    • 发布日期:2019-09-04
    • 文件大小:148480
    • 提供者:drjiachen
  1. 2.5分频VHDL源程序

  2. 想出了一个半整数分频的VHDL语言描述.其实很多问题只要你耐心,也是比较容易的 写出来与大家共享,共同讨论,半整数分频当然还有其他的方法 我认为这种看起来蛮简单的
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:27648
    • 提供者:weixin_38565480
  1. 基于VHDL和FPGA的多种分频的实现方法

  2. 分频器是数字系统设计中的基本电路,根据不同设计的需要,我们会遇到偶数分频、奇数分频、半整数分频等,有时要求等占空比,有时要求非等占空比。在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频,实现较为简单。但对半整数分频及等占空比的奇数分频实现较为困难。本文利用VHDL硬件描述语言,通过QuartusⅡ3.0开发平台,使用Altera公司的FPGA,设计了一种能够满足上述各种要求的较为通用的分频器。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:463872
    • 提供者:weixin_38740328
  1. 一种基于FPGA的小数分频的实现

  2. 分频器是指将不同频段的声音信号区分开来,分别给于放大,然后送到相应频段的扬声器中再进行重放。在高质量声音重放时,需要进行电子分频处理。具体实现形式有偶数分频、奇数分频、锁相环分频电路等,这种结构的分频器只能实现整数分频,或者是仅实现半整数分频和奇数分频[1],一般的锁相环分频电路会有几十微秒级的频率转换时间[2],虽然现在少数芯片有所改善,但是时间也较长。同时,在某些场合下,所需要的频率与给定的频率并不成整数或半整数倍关系,或需要实现对输入信号频率的微调整,此时可采用小数分频器进行分频[3]。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:302080
    • 提供者:weixin_38695061
  1. EDA/PLD中的基于CPLD/FPGA的半整数分频器的设计

  2. 摘要:简要介绍了CPLD/FPGA器件的特点和应用范围,并以分频比为2.5的半整数分频器的设计为例,介绍了在MAX+plus II开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来设计数字逻辑电路的过程和方法。     关键词:VHDL CPLD/FPGA 数字逻辑电路设计 半整数分频器 1 引言 CPLD(Complex programmable Logic Device,复杂可编程逻辑器件)和FPGA(Field programmable Gates Array,现场可编程门
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:97280
    • 提供者:weixin_38576045
  1. EDA/PLD中的基于CPLD的任意整数半整数分频器设计

  2. 0 引言 在数字系统设计中,根据不同的设计需要,经常会遇到偶数分频、奇数分频、半整数分频等,有的还要求等占空比。在基于CPLD(复杂可编程逻辑器件)的数字系统设计中,很容易实现由计数器或其级联构成各种形式的偶数分频及非等占空比的奇数分频,但对等占空比的奇数分频及半整数分频的实现较为困难。 本文利用VHDL(甚高速集成电路硬件描述语言),通过Quartus Ⅱ 4.2开发平台,设计了一种能够实现等占空比的整数和近似等占空比的半整数分频器,这种设计方法原理简单,而且只需很少的CPLD逻辑宏单元。 1
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:57344
    • 提供者:weixin_38552292
  1. 基于CPLD/FPGA的半整数分频器的设计

  2. 摘要:简要介绍了CPLD/FPGA器件的特点和应用范围,并以分频比为2.5的半整数分频器的设计为例,介绍了在MAX+plus II开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来设计数字逻辑电路的过程和方法。 关键词:VHDL CPLD/FPGA 数字逻辑电路设计 半整数分频器 1 引言CPLD(Complex programmable Logic Device,复杂可编程逻辑器件)和FPGA(Field programmable Gates Array,现场可编程门阵列)都是可编程逻
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:210944
    • 提供者:weixin_38686267
« 12 3 »