您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用8255来循环显示数字(0-9)

  2. 利用8255的PA口来控制LED灯,并循环显示出(0-9)的数字,数字间要有一定的延时。
  3. 所属分类:专业指导

    • 发布日期:2009-06-02
    • 文件大小:2048
    • 提供者:shelly_joe
  1. 凌阳61板上数码管0-9跑马灯式循环

  2. 通过程序控制,使得数码管上进行0-9跑马灯式的循环显示
  3. 所属分类:其它

    • 发布日期:2009-10-14
    • 文件大小:23552
    • 提供者:chameyu
  1. 单片机c代码数码管静态显示0-9循环

  2. 单片机c代码数码管静态显示0-9循环~~好东西
  3. 所属分类:硬件开发

    • 发布日期:2010-04-09
    • 文件大小:11264
    • 提供者:ningfeng1990
  1. I/O并行口直接驱动LED显示

  2. 利用AT89S51单片机的P0端口的P0.0-P0.7连接到一个共阴数码管的a-h的笔段上,数码管的公共端接地。在数码管上循环显示0-9数字,时间间隔0.2秒。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-27
    • 文件大小:84992
    • 提供者:dianke4211
  1. 数码管循环显示1-9

  2. 数码管循环显示1-9 P20-P23口段选 P0位选
  3. 所属分类:其它

    • 发布日期:2010-11-26
    • 文件大小:541
    • 提供者:yangjietulin
  1. 汇编数码管0~9数字显示

  2. 利用汇编中断控制数码管显示0~9,每按一下开关数字就变一下,如此循环。
  3. 所属分类:嵌入式

    • 发布日期:2011-11-13
    • 文件大小:37888
    • 提供者:huyong787
  1. 单只数码管循环显示0-9

  2. 单只循环显示0-9,在电路中的单只数码管会循环显示0,1,.....9.
  3. 所属分类:C/C++

    • 发布日期:2011-12-21
    • 文件大小:397
    • 提供者:czlinm
  1. 【实验09】数码管静态自动变位显示0-9循环

  2. 【实验09】数码管静态自动变位显示0-9循环
  3. 所属分类:其它

    • 发布日期:2013-04-14
    • 文件大小:479
    • 提供者:u010296445
  1. 编写一个程序接受用户输入的字符。如果输入的字符是0-9数字中的一个,则显示“您输入了一个数字”,否则显示“这不是一个数字”。

  2. 编写一个程序接受用户输入的字符。如果输入的字符是0-9数字中的一个,则显示“您输入了一个数字”,否则显示“这不是一个数字”。 提示:建一个数字数组,存储0-9;用循环把输入字符和这个数组比较,检查字符是否在数组里。
  3. 所属分类:.Net

    • 发布日期:2014-01-01
    • 文件大小:20480
    • 提供者:u012967463
  1. verilog实现单只数码管从0到9循环显示,动态扫描

  2. 利用动态扫描实现单只数码管从0到9循环显示,绝对可用
  3. 所属分类:硬件开发

    • 发布日期:2017-05-26
    • 文件大小:446464
    • 提供者:qq_36402402
  1. HT1621B程序

  2. HT1621B驱动4个数码管程序,亲测可用,依次显示0到9;循环显示的!!!!
  3. 所属分类:C

    • 发布日期:2018-07-02
    • 文件大小:30720
    • 提供者:zdxfeeling
  1. 用LED数码显示器循环显示数字0~9

  2. 下面是是是源代码和注释,解释的很详细,我就不赘述了,附件含有仿真文件和源码,如果感觉延时太短可以自己设置参数进行修改 //用LED数码显示器循环显示数字0~9 #include // 包含51单片机寄存器定义的头文件 /************************************************** 函数功能:延时函数,延时一段时间 ***************************************************/ void delay(void) {
  3. 所属分类:嵌入式

    • 发布日期:2018-09-27
    • 文件大小:29696
    • 提供者:fucker12138
  1. 虹润 NHR-5200系列双回路数字显示控制仪说明书.pdf

  2. 虹润 NHR-5200系列双回路数字显示控制仪说明书pdf,虹润 NHR-5200系列双回路数字显示控制仪说明书出丿设置 参数 设定范围 说 Lo c 0~999 L0C=00:无禁锁(一级参数可修改) 设定参数禁锁 L0C≠00,132:禁锁(参数不可修改) LOC=132:无禁锁进入二级参数设定(一级参数可修改) 1AL1 L 1999~999 第一路第一报警的报警设定值 第一路 回↓个可第一报警值 IAL -1999~9999 第一路第二报警的报警设定值 第一路 第二报警值 1AH1 吕H
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:15728640
    • 提供者:weixin_38743968
  1. TCS230颜色传感器测试软件XCOM V2.0.rar

  2. 软件介绍:   TCS230测试工具可以使用TTL USB转接线连接电脑,根据命令进行操作,里面有很多的命令。可以进行测试以及调试的功能。  XCOM V2.0更新说明  1.增加了多条发送的数目,多达40条  2.可以任意编辑,导入导出您要发送的条目,文件的格式是excel3.加入了协议传输,支持单次发送,自动连续连续发送,文件发送(如IAP串口升级),配有自动重发机制以及多种校验方式,确保您传输的数据不出错4.加强了16进制发送的功能,自动拆分您发送的字节,不必每隔一个字节就输入空格5
  3. 所属分类:其它

    • 发布日期:2019-09-04
    • 文件大小:3145728
    • 提供者:weixin_38743481
  1. u盘测试工具V2.0.9 CECC推荐工具.rar

  2. 软件介绍: CECC移动存储专业委员会推荐免费的测试工具,使用说明:插入闪存盘,按任意键开始测试;使用说明:本软件的测试结果旨在告诉你客观的测试数据,据此您可以明明白白地购买闪存盘,软件主要功能:1.外观测试:共有6个选项可供选择,在最后的综合报告中显示外观得分;2.容量测试:快速检测出闪存盘的总容量与可用容量;3.读写测试:以不同的写入与读取方式来测试闪存盘的读写数据速度;4.疲劳测试:采用设定测试时间与循环次数两种方法来测试闪存盘的读写可靠性;产品外包装是否有生产日期 、出产地、产品质
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:991232
    • 提供者:weixin_38744207
  1. 串口屏MINIC教程6-for循环和数组使用说明V1.0.pdf

  2. MINIC是一种用于串口屏的本语言。它的语法类似C语言,但只保留最常用的功能。 本文主要通过MINIC教程DEMO讲述-for循环和数组的使用说明。JACAW MINc教程for循环和数组使用说明v10 大彩科技 目录 1.适合范围 2.开发环境版本 3.概述.… 3 I MINIC介绍 3.2变量定义 33API函数说明 4.教程实现.…… 垂.,牵垂新音音音音音·音,···看,D。音,音垂音音垂音音垂 4.1准备工程素材 41.l硬件平台 6666 4.1.2UI素材准备 4.1.3 MIN
  3. 所属分类:嵌入式

    • 发布日期:2019-09-03
    • 文件大小:2097152
    • 提供者:weixin_43815099
  1. 单片机数码管显示0到9程序代码

  2. 主程序中的循环语句反复将 0~9 的段码送至 P0 口,使数字 0~9 循环显示
  3. 所属分类:其它

    • 发布日期:2020-07-16
    • 文件大小:20480
    • 提供者:weixin_38684328
  1. 单片机IO并行口直接驱动LED显示技术

  2. 利用AT89S51单片机的P0端口的P0.0-P0.7连接到一个共阴数码管的a-h的笔段上,数码管的公共端接地。在数码管上循环显示0-9数字,时间间隔0.2秒。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:88064
    • 提供者:weixin_38618312
  1. 数码管显示实验

  2. 单位数码管从0~9循环显示,定时1S.编程:使用定时/计数器的定时功能,时钟源采用系统时钟,根据时钟源的频率配置初值寄存器,使能定时器中断。调用数码管显示功能。
  3. 所属分类:专业指导

    • 发布日期:2013-11-16
    • 文件大小:20480
    • 提供者:ly920628281
  1. 单片机0-9-0循环显示

  2. 单片机0-9-0循环显示
  3. 所属分类:其它

    • 发布日期:2017-03-03
    • 文件大小:28672
    • 提供者:qq_36823907
« 12 3 4 5 6 7 8 9 10 ... 50 »