您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于查找表LUT结构的奇数与小数分频电路设计.pdf

  2. 基于查找表LUT结构的奇数与小数分频电路设计.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-09-06
    • 文件大小:309248
    • 提供者:deaglebear
  1. 我的论文:基于FPGA 的数字滤波器的设计与实现

  2. 提出了一种基于FPGA 的FIR 线性相位滤波器设计方案, 充分利用FPGA 四输入查找表LUT 结构构成 向量乘法器, 给出了对应的VHDL 源程序及仿真结果, 并讨论了设计误差原因及改进措施。与普通滤波器相比, 基 于查找表的FIR 滤波器具有速度快、占用资源少的特点。采用流水线技术对加法运算进行处理, 速度进一步提高。
  3. 所属分类:硬件开发

    • 发布日期:2011-04-17
    • 文件大小:813056
    • 提供者:BARBERQS
  1. Spartan 系列 FPGA用户指南中文版

  2. Spartan-3 系列架构由以下五个基本的可编程功能单元组成: • 可配置逻辑模块 (CLB) 包含灵活的查找表 (LUT),这些查找表用来实现用作触发器或 锁存器的逻辑单元和存储单元。CLB 可以执行多种逻辑功能,并且可以存储数据。 • 输入 / 输出模块 (IOB) 控制器件的 I/O 引脚与内部逻辑之间的数据流。IOB 支持双向数 据流和三态操作。支持多种信号标准,包括若干高性能差分标准。包括双倍数据速率 (DDR) 寄存器。 • Block RAM 提供 18Kb 双端口模块形式的数
  3. 所属分类:嵌入式

    • 发布日期:2011-08-26
    • 文件大小:10485760
    • 提供者:xiaxing1987
  1. Spartan-6_系列概述

  2. Spartan®-6 系列不仅拥有业界领先的系统集成能力,同时还能实现适用于大批量应用的最低总成本。该系列由 13 个成员组成,可提供 的密度从 3,840 个逻辑单元到 147,443 个逻辑单元不等。与上一代 Spartan 系列相比,该系列功耗仅为其 50%,且速度更快、连接功 能更丰富全面。Spartan-6 系列采用成熟的 45nm 低功耗铜制程技术制造,实现了性价比与功耗的完美平衡,能够提供全新且更高效的 双寄存器 6 输入查找表 (LUT) 逻辑和一系列丰富的内置系统级模块,其中
  3. 所属分类:硬件开发

    • 发布日期:2012-05-17
    • 文件大小:642048
    • 提供者:prayin
  1. 利用LUT(查找表)进行MODIS气溶胶反演系统、源代码及说明文档

  2. 本压缩文件包含利用LUT(查找表)进行MODIS气溶胶反演的系统、源代码及说明文档 源代码为idl的pro文件,易于学习查看 还附赠了6s大气传输模型用以生成查找表(LUT)之用 目录 │ 基于ENVI5.0的北京市气溶胶反演.pdf │ ├─6s_exe文件 │ 6s.exe │ in.txt │ modis_lut.txt │ out.txt │ ├─LUT(查找表) │ lut.txt │ └─气溶胶反演pro源代码 modis_Aerosol_inversion.pro modis_c
  3. 所属分类:专业指导

    • 发布日期:2015-08-24
    • 文件大小:2097152
    • 提供者:weierbo521apudu
  1. 利用6S大气传输模型生成用于气溶胶反演的查找表(LUT)

  2. 为idl的pro文件,易于学习与查看
  3. 所属分类:专业指导

    • 发布日期:2015-08-24
    • 文件大小:2048
    • 提供者:weierbo521apudu
  1. 利用LUT(查找表)进行MODIS气溶胶反演源代码

  2. 为idl的pro文件,易于学习与查看 要想下载查找表法进行气溶胶反演的整体系统、源代码及说明文档,请在CSDN中搜索“利用LUT(查找表)进行MODIS气溶胶反演系统、源代码及说明文档”。所需资源积分优惠哦~~~
  3. 所属分类:专业指导

    • 发布日期:2015-08-24
    • 文件大小:9216
    • 提供者:weierbo521apudu
  1. 利用IDL语言建立大气校正查找表

  2. 利用IDL语言建立的大气校正查找表LUT,同时给出了修改方法
  3. 所属分类:专业指导

    • 发布日期:2018-08-21
    • 文件大小:3072
    • 提供者:qq_29853035
  1. 利用LUT(查找表)进行 MODIS气溶胶反演系统、源代码和说明文档

  2. 利用LUT(查找表)进行 MODIS气溶胶反演系统、源代码和说明文档。 本压缩文件包含利用LUT(查找表)进行MODIS气溶胶反演的系统、源代码及说明文档 源代码为idl的pro文件,易于学习查看 还附赠了6s大气传输模型用以生成查找表(LUT)之用 目录 │ 基于ENVI5.0的北京市气溶胶反演.pdf │ ├─6s_exe文件 │ 6s.exe │ in.txt │ modis_lut.txt │ out.txt │ ├─LUT(查找表) │ lut.txt │ └─气溶胶反演pro源代码
  3. 所属分类:专业指导

    • 发布日期:2019-04-15
    • 文件大小:2097152
    • 提供者:xmt1139057136
  1. glsl-lut, glslify的查找表颜色转换.zip

  2. glsl-lut, glslify的查找表颜色转换 glsl-lut 这里是演示。使用纹理作为查找表在明暗器中应用颜色转换。 来自GPUImage的原始实现,请参见这里的 。 有关这里概念的更多详细信息,请参见这里的 。这是面向OpenGL的,所以没有 3D 个纹理,并且查找
  3. 所属分类:其它

    • 发布日期:2019-09-18
    • 文件大小:1048576
    • 提供者:weixin_38744153
  1. lut(1).txt

  2. AOD气溶胶反演lut查找表 在用MODIS021KM的数据做气溶胶厚度反演研究空气污染情况时的查找表
  3. 所属分类:系统集成

    • 发布日期:2020-04-07
    • 文件大小:122880
    • 提供者:qq_43636296
  1. 基于LUT的高速低硬件开销SHA-3算法设计

  2. 通过对SHA-3算法和查找表(Look-Up-Table,LUT)方法的研究,提出一种高速低硬件开销SHA-3算法设计方案。首先,该方案利用状态机实现SHA-3算法核心置换函数的轮运算,并结合LUT方法处理每轮运算的数据交换和数据存储;然后,采用硬件模块并行处理和存储单元共用的方式,提高SHA-3算法的速度、降低硬件开销。最后,在SMIC 65 nm CMOS工艺下设计SHA-3算法,DC综合后电路面积为65 833 μm2,在1.2 V电压下最高工作频率可达到150 MHz,功耗为2.5 mW
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:390144
    • 提供者:weixin_38650150
  1. 嵌入式系统/ARM技术中的插值查找表对FPGA的DSP功能的实现

  2. 我们是否能够提供一款其功能可满足客户所有独特设计要求的DSP内核。有时候内核会太大,太小或者不够快。有时,我们会开发一款能确切满足客户需求的内核,并迅速以CORE GeneratorTM商标推出。不过即便在这种情况下,客户仍然想要一套特定的DSP功能,而且刻不容缓。在这些情况下,我常常建议他们使用我们器件中的插值查找表来定制他们的DSP功能。   查找表 (LUT) 实质上是一个存储元件,能够根据任何给定的输入状态组合,“查找”输出,以确保每个输入都有确切的输出。采用LUT来实现 DSP功能具有
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:390144
    • 提供者:weixin_38675967
  1. 基于可变电阻和温度索引查找表(LUT)的稳压器输出补偿

  2. 本文将对比标准配置的稳压器以及配以DS1859双温控电阻后的同一款稳压器的测试数据。DS1859用其中一路可变电阻和温控查找表(LUT)进行温度补偿,从测试结果可以清楚地看出利用DS1859温度索引查找表对系统指标的改善。更简单的芯片,譬如DS1847双温控非易失可变电阻同样带有温度索引查找表,效果一样卓越。另外,DS1859和DS1847能够在无需微控制器的条件下提供闭环控制。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:96256
    • 提供者:weixin_38665162
  1. 电源技术中的使用可变电阻和温度索引查找表(LUT)补偿稳压器输出

  2. 摘要:本应用笔记阐述了使用内置可编程温度索引查找表(LUT)控制可变电阻,以补偿稳压器温漂。该应用中,可变电阻基于查找表每隔2°C提供一个补偿阻值,从而利用可变电阻有效补偿稳压器输出的任何温度变化(-40°C至+85°C),改善系统指标。本例中采用了DS1859双通道可变电阻。   引言   稳压器为后续电路提供连续、稳定的电压。有些应用可接受相对较大的电压波动,而有些应用则对电压波动要求非常苛刻,这些精密电路需要电压保持恒定。   本文将对比标准配置的稳压器以及配以DS1859双温控电阻
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:131072
    • 提供者:weixin_38659248
  1. 传感技术中的美信全新DS3501数字电位器内置温度传感器、ADC以及LUT

  2. Maxim Integrated Products的全资子公司Dallas Semiconductor推出DS3501 7位非易失数字电位器(digipot),器件具有高达15.5V的输出电压。该器件是第一款内置温度传感器、ADC以及查找表(LUT)的高度集成非易失数字电位器系列产品,具有与标准数字电位器相近的成本。     DS3501提供了一个ADC可寻址的36字节LUT,查找的温度跨度范围为-40℃至+100℃。     可以使用LUT输出加上非易失初值寄存器(IVR)的值或LU
  3. 所属分类:其它

    • 发布日期:2020-12-03
    • 文件大小:45056
    • 提供者:weixin_38660069
  1. FIJI-ImageJ-WRA-LUTs:我为FIJIImageJ定制的查找表(错误的颜色表)的集合。 也可以在NeurocytoLUTs更新站点中找到-源码

  2. FIJI-ImageJ-WRA-LUT 我为FIJI / ImageJ定制的查找表(错误的颜色表)的集合。 也可以在NeurocytoLUTs更新站点中找到。
  3. 所属分类:其它

    • 发布日期:2021-02-21
    • 文件大小:44032
    • 提供者:weixin_42099087
  1. 一种基于新型查表方法的统一计算设备架构并行计算全息算法

  2. 为解决点源法计算全息速度较慢的问题,提出了一种新的查表算法,命名为三角函数查表法(T-LUT算法)。该算法是基于点源法基本的数学公式,通过一系列数学近似与恒等变换,生成了一种纯相位查找表,该查找表具有三维特性,并具有生成速度快、精度高、占用内存少等特点,克服了点源法重复计算相位的缺点。同时采用统一计算设备架构(CUDA)并行计算在图形处理器(GPU)上加以实现,并进行了三次并行优化。在算法的验证与对比实验中,采用单显卡(GPU显卡)实现T-LUT算法,在不牺牲全息图再现像质量的前提下,成功地将点
  3. 所属分类:其它

    • 发布日期:2021-02-05
    • 文件大小:3145728
    • 提供者:weixin_38743076
  1. WCDMA系统中基于查找表的预失真技术的研究

  2. 在无线通信系统中,高功率放大器因其非线性,导致AM/PM效应使得微分相位、微分增益和互调失真变坏。高质量的通信系统设计应尽可能减小功率放大器的AM/PM效应。因此,针对宽带码分多址(WCDMA)功放的非线性失真问题,采用一种基于查找表(LUT)的自适应预失真方法,改善功放的非线性失真。仿真表明,该方法能有效补偿放大器产生的AM-AM、AM-PM失真,并将功放的邻道功率泄漏比(ACPR)改善到30dB左右。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:607232
    • 提供者:weixin_38621104
  1. 插值查找表对FPGA的DSP功能的实现

  2. 我们是否能够提供一款其功能可满足客户所有独特设计要求的DSP内核。有时候内核会太大,太小或者不够快。有时,我们会开发一款能确切满足客户需求的内核,并迅速以CORE GeneratorTM商标推出。不过即便在这种情况下,客户仍然想要一套特定的DSP功能,而且刻不容缓。在这些情况下,我常常建议他们使用我们器件中的插值查找表来定制他们的DSP功能。   查找表 (LUT) 实质上是一个存储元件,能够根据任何给定的输入状态组合,“查找”输出,以确保每个输入都有确切的输出。采用LUT来实现 DSP功能具有
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:489472
    • 提供者:weixin_38659311
« 12 3 4 5 6 »