您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机led段码生成

  2. led段码生成,单片机非常实用,有ASM和C两种方式
  3. 所属分类:硬件开发

    • 发布日期:2009-07-01
    • 文件大小:322560
    • 提供者:topchao
  1. LCD段码液晶显示例程

  2. LCD 段码 液晶 例程,也许碰到闪烁情况,如何写LCD的液晶调用,必须考虑频率
  3. 所属分类:C

    • 发布日期:2009-08-20
    • 文件大小:1024
    • 提供者:liudongjie
  1. 数码管(段码)生成工具

  2. 数码管段码生成工具,可生成数码管0-f的段码,方便写程序时使用
  3. 所属分类:其它

    • 发布日期:2009-09-14
    • 文件大小:448512
    • 提供者:swpjlang
  1. 发光二极管七段码设计工具

  2. 很好用的七段码生成工具: 发光二极管七段码设计工具
  3. 所属分类:其它

    • 发布日期:2009-12-29
    • 文件大小:207872
    • 提供者:handrap
  1. 1.将数组分成正数和负数2.16位无符号排序3.七段码4.产生随机数5.字程序编制

  2. 1.将数组分成正数和负数2.16位无符号排序3.七段码4.产生随机数5.字程序编制。汇编语言编制的简单程序,适合初学者
  3. 所属分类:硬件开发

    • 发布日期:2010-03-26
    • 文件大小:8192
    • 提供者:fafalee2008
  1. FPGA SOPC 简单的段码的内核测试.源码

  2. FPGA SOPC 简单的段码的内核测试.源码
  3. 所属分类:硬件开发

    • 发布日期:2010-04-10
    • 文件大小:104448
    • 提供者:wdd1yx
  1. LED段码自动生成器

  2. LED段码自动生成器 LED段码自动生成器 LED段码自动生成器
  3. 所属分类:专业指导

    • 发布日期:2010-04-17
    • 文件大小:322560
    • 提供者:qxz119
  1. 数码管段码生成器,可自定义IO口的排列,可带小数点

  2. 数码管段码生成器,可自定义IO口的排列,可带小数点
  3. 所属分类:专业指导

    • 发布日期:2010-06-04
    • 文件大小:503808
    • 提供者:wuhp119
  1. ytyteodiofk数码管段码计算

  2. wtrytyhytioekpodkep数码管段码计算
  3. 所属分类:专业指导

  1. 单片机驱动数码管段码生成软件

  2. 数码管段码生成软件,共阴极和共阳极都可以
  3. 所属分类:硬件开发

    • 发布日期:2010-07-19
    • 文件大小:322560
    • 提供者:wzgnb
  1. [C程序代码]数码管段码程序代码.txt

  2. [C程序代码]数码管段码程序代码(共阳共阴)
  3. 所属分类:C

    • 发布日期:2010-08-22
    • 文件大小:828
    • 提供者:bzuliangzai
  1. 另一款8段LED段码提取软件

  2. 另一款8段LED段码提取软件,功能包括自定义段列,高低电平选择,共阴共阳选择,方便使用。
  3. 所属分类:专业指导

    • 发布日期:2010-08-23
    • 文件大小:187392
    • 提供者:e2zone
  1. LED段码数据生成器

  2. LED段码数据生成器.可以生成c51,数组。asm
  3. 所属分类:C

    • 发布日期:2010-11-20
    • 文件大小:835584
    • 提供者:shanscc
  1. LED段码数据生成软件.rar

  2. LED段码数据生成软件.rarLED段码数据生成软件.rarLED段码数据生成软件.rar
  3. 所属分类:其它

    • 发布日期:2010-12-02
    • 文件大小:331776
    • 提供者:c236040273
  1. HT1621驱动,段码屏128点

  2. 很详细,是做技术必备,能驱动段码屏128点,原厂直接发的文件
  3. 所属分类:其它

    • 发布日期:2010-12-10
    • 文件大小:474112
    • 提供者:fazaihhh
  1. 8段数码管段码提取工具

  2. 8段数码管段码提取工具 8段数码管段码提取工具 8段数码管段码提取工具 8段数码管段码提取工具 8段数码管段码提取工具
  3. 所属分类:其它

    • 发布日期:2010-12-11
    • 文件大小:404480
    • 提供者:kracule
  1. 7段码时钟MFC实现

  2. 模拟单片机中的7段码时钟 http://blog.csdn.net/keyixuexi/archive/2011/03/04/6222286.aspx
  3. 所属分类:C++

    • 发布日期:2011-03-04
    • 文件大小:1048576
    • 提供者:keyixuexi
  1. 数码管段码查询V1.0

  2. 数码管段码查询V1.0,为七段数码管的短吗输出查询,很好用,单片机开发必备软件!!!记住,自学很重要!!!!!我的资源里面还有很多电子、单片机方面的资料、工具、软件....
  3. 所属分类:嵌入式

    • 发布日期:2011-03-27
    • 文件大小:32768
    • 提供者:q45213212
  1. 共阴 共阳 数码管 段码 取码 速查软件

  2. 共阳数码管 共阴数码管 段码取码软件 只支持c语言 design bY:sarjet
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:352256
    • 提供者:sarjet
  1. 单片机proteus 8段码生成器

  2. 用于生成8段码的语句 可以是c语言也可以是汇编
  3. 所属分类:硬件开发

    • 发布日期:2011-05-19
    • 文件大小:355328
    • 提供者:ruanrong46
« 12 3 4 5 6 7 8 9 10 ... 50 »