您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 七段码显示汇编语言程序设计

  2. 七段码显示汇编语言程序设计 微机原理接口技术 七段数码管 汇编程序设计
  3. 所属分类:硬件开发

    • 发布日期:2011-01-12
    • 文件大小:270336
    • 提供者:hellowuhan
  1. eda实验修改7段码的值,来显示你要显示的数

  2. 你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
  3. 所属分类:软件测试

    • 发布日期:2011-05-19
    • 文件大小:804
    • 提供者:sky007lh
  1. vhdl七段码转换器

  2. 设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。 能显示字母A,b,C,d,E,F,H,L,o,P,U,Γ,和一些符号(-,_,=,┫,┣,┓,┏)等。
  3. 所属分类:硬件开发

  1. 数码管段码查询V1.0

  2. 8段数码管段码查询,可以通过鼠标点击欲显示的数字,并选择是共阴还是共阳数码管,即可查询到段码
  3. 所属分类:硬件开发

    • 发布日期:2011-06-03
    • 文件大小:90112
    • 提供者:eassion_1
  1. 七段数码显示字符图

  2. 0-F的七段数码显示字符图,共阴极,码的顺序为abcdefgh,包括小数点h
  3. 所属分类:专业指导

    • 发布日期:2011-11-28
    • 文件大小:97280
    • 提供者:agengyx
  1. 七段码显示

  2. 基于8051F系列单片机的七段码显示!基于8051F系列单片机的七段码显示!
  3. 所属分类:硬件开发

    • 发布日期:2011-12-17
    • 文件大小:7168
    • 提供者:tianya58198
  1. vhdl七段码显示代码

  2. 此为七个数码管显示电路,采取轮换形式显示
  3. 所属分类:C/C++

    • 发布日期:2008-06-15
    • 文件大小:31744
    • 提供者:taiji_feng
  1. 八段码显示程序设计与调试.

  2. 八段码显示设计与调试,主要用于单片机及微机方面的设计与检测
  3. 所属分类:软件测试

    • 发布日期:2013-03-12
    • 文件大小:148480
    • 提供者:hequanli999
  1. 51单片机程序 八段码显示

  2. 汇编编写的单片机八段码显示 注意,是对于51单片机哦
  3. 所属分类:嵌入式

    • 发布日期:2013-05-27
    • 文件大小:30720
    • 提供者:u010857815
  1. 数码管段码查询软件

  2. 数码管段码查询软件 用户段码顺序设置,用于设置a-h八个段在编码中从高位到低位的排列顺序。软件设置了两种基本的排列顺序,正序和逆序。当然用户也可以自由的设置其顺序,其格式为:段名+分割符+段名……(可以参考软件内部设置排列方式),其中分割符可以使用任意字符,建议使用空格或逗号。   常用字符部分提供了22种常用的字符,它们是0-9,A-F,H,L,P,U,Y,o。这基本上可以满足用户的基本要求,省去了在显示设置区点击鼠标的麻烦。
  3. 所属分类:硬件开发

    • 发布日期:2014-08-11
    • 文件大小:14336
    • 提供者:qq_15173585
  1. 数码管段码查询V1.0

  2. 数码管段码查询V1.0,可将数码管显示转换为2进制,方便学习
  3. 所属分类:软件测试

    • 发布日期:2015-05-15
    • 文件大小:43008
    • 提供者:heyutianing
  1. 430单片机6位lcd段码显示(电子秒表例程)

  2. 包括430电子秒表的例程,以及6为lcd段码显示
  3. 所属分类:C

    • 发布日期:2015-08-03
    • 文件大小:101376
    • 提供者:qq_30183111
  1. 重庆东皇机电 BCD码转换程序 数码管段码转换

  2. BCD码转换程序 主要用于单片机开发时较麻烦的数码管显示段码转换。 软件为免费软件,请转发时附上本公司名字,谢谢。 环境.net 2.0及以上平台运行。
  3. 所属分类:硬件开发

    • 发布日期:2016-08-11
    • 文件大小:8192
    • 提供者:xysl
  1. 数码管的数字显示共阳共阴段码

  2. 常用的7段数码管组成结构及显示原理,共阳数码管和共阴数码管段码。
  3. 所属分类:C

    • 发布日期:2018-03-26
    • 文件大小:35840
    • 提供者:centch321
  1. STM32F1 4COMLCD段码屏驱动程序

  2. 该LCD段码屏的参数为:4COM,1/3bias 其中6位用来显示数字,LCD段码屏自带有HT1621芯片
  3. 所属分类:C

    • 发布日期:2018-10-08
    • 文件大小:352256
    • 提供者:qq_35281599
  1. 汇川-MHOP1-D系列段码显示外召盒用户手册版本号:V0.0.pdf

  2. 汇川-MHOP1-D系列段码显示外召盒用户手册版本号:V0.0pdf,
  3. 所属分类:其它

    • 发布日期:2019-09-25
    • 文件大小:1048576
    • 提供者:weixin_38743506
  1. OURS-SDK-WFB 段码液晶对应关系.pdf

  2. TI官方的WIFI开发套件资料(产品:OURS-SDK-WFB),里面包括code:(代码)dec:(描述、中文介绍、开发教程)tools:(开发工具合集 CCS软件 CCS_Uniflah、SDK、TFTP Sever等)和原理图(底板,核心板和液晶显示板)等 。 但是很可惜是,板子用的液晶屏不是真正的液晶屏,只是个段码液晶,段码液晶用PCF8566驱动,且资料中也没有关于屏幕驱动相关资料。花了好多时间,暴力获取了段码液晶真值表(内存与段码对应关系),为必须大家走弯路,特此分享此资料。补充一
  3. 所属分类:硬件开发

    • 发布日期:2020-02-16
    • 文件大小:126976
    • 提供者:hebin939
  1. 根据段码真值表创建段码数组.xlsx

  2. 这是一个通过EXCEl做的小工具,根据段码屏的真值表输入对应的数码管ABCDEFGX顺序,就可以计算出要显示的内容对应HEX码
  3. 所属分类:硬件开发

    • 发布日期:2019-09-02
    • 文件大小:26624
    • 提供者:qq_18628523
  1. 一文解析段码LCD液晶屏驱动方法

  2. 生活中小电器见到最多的lcd模组就是段码lcd液晶屏,段码lcd有普通的数码管的特征,又有点阵LCD的特征,固定的图形,优点是省成本而有好看,那么段码LCD液晶屏是怎么驱动的呢?段码LCD液晶屏是如何显示的呢?跟随小编一起来了解一下吧。 段码LCD液晶屏驱动方法 首先,不要以为用单片机来驱动就以为段码屏是直流驱动的,其实,段码屏是交流驱动,什么是交流?矩形波,正弦波等。大家可能会经常用驱动芯片来玩,例如HT1621等,但是有些段式屏IO口比较少,或者说IO口充足的情况下,也可以省去写控制
  3. 所属分类:其它

    • 发布日期:2020-07-12
    • 文件大小:434176
    • 提供者:weixin_38574410
  1. 关于GD32F190系列MCU驱动段码式液晶屏的性能

  2. 日常生活中常见到段码式液晶屏幕。它有普通的数码管的特征,又有点阵LCD的特征,固定的图形,省成本而又好看。全新的GD32F190系列5V宽电压高抗噪Cortex-M3内核MCU,内置了段码式LCD控制器可直接驱动256(8×32)个段码以实现高质量、高对比度的液晶显示。
  3. 所属分类:其它

    • 发布日期:2020-07-11
    • 文件大小:102400
    • 提供者:weixin_38520275
« 12 3 4 5 6 7 8 9 10 ... 50 »