您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 手机塑料外壳注塑模毕业设计

  2. 第一章 塑件的成形工艺性分析 一、塑件材料的选择及其结构分析 1、塑件(手机外壳)模型图: 图1-1 塑件图 2、塑件材料的选择:选用ABS(即丙烯腈-丁二烯-苯乙烯共聚物)。 3、色调:黑色。 4、生产批量:大批量。 5、塑件的结构与工艺性分析: (1)结构分析 塑件为手机外壳的上半部分,应有一定的结构强度,由于中间有手机的按键及手机显示屏,后面有与后盖联接的塑料倒扣,所以应保证它有一定的装配精度;由于该塑件为手机外壳,因此对表面粗糙度要求不高。 (2)工艺性分析 精度等级:采用5级低精度
  3. 所属分类:C

    • 发布日期:2009-05-26
    • 文件大小:1048576
    • 提供者:xuxiaoming229
  1. 硬件工程师常用基础试题

  2. 硬件工程师基础试题,可用于面试,笔试。 1 什么是 Setup 和 Holdup 时间? 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前, 数据信号需要保持不变的时间。 保持时间是指时钟跳变边沿后数据信号需要保持 不变的时间。见图 1。 如果不满足建立和保持时间的话,那么 DFF 将不能正确地采样到数据,将会出现 metastability 的情况。 如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间, 那么超过量 就分别被称为建立时间裕量和
  3. 所属分类:嵌入式

    • 发布日期:2009-05-29
    • 文件大小:197632
    • 提供者:xiashuang1984
  1. 关于毛刺i和可靠性的分析.rar

  2. 关于毛刺i和可靠性的分析。详细描述了毛刺,竞争,冒险的概念,产生和消除方法。
  3. 所属分类:专业指导

    • 发布日期:2009-09-14
    • 文件大小:53248
    • 提供者:eepisces
  1. 消除组合逻辑产生的毛刺

  2. 本文档介绍了在FPGA数字逻辑设计中组合逻辑的毛刺问题以及如何消除设计中的毛刺问题的方法,为FPGA实现高速的设计工程提供了必要的手段,减少了在设计中的误操作,提高了设计的稳定性。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-27
    • 文件大小:321536
    • 提供者:fangxiang05
  1. 经典的FPGA进阶,通向FPGA更高的层次

  2. FPGA高手必经的进阶设计,讲解了很多FPGA设计中的经典难题,如毛刺的产生消除,时序的约束,同步设计等。新一代 FPGA的速度变得越来越快,密度变得越来越高,逻辑资源也越来越多。那么如何才能确保功耗不随这些一起增加??
  3. 所属分类:硬件开发

    • 发布日期:2010-04-22
    • 文件大小:1048576
    • 提供者:shuxin20
  1. FPGA设计中毛刺问题的研究和解决

  2. 在FPGA设计中毛刺往往会影响整个系统的可靠性,甚至导致整个系统崩溃。所以合理的消除毛刺是非常重要的。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-06
    • 文件大小:208896
    • 提供者:lovelocofj
  1. PLD设计技巧——消除组合逻辑产生的毛刺.pdf

  2. 详细描述PLD器件件毛刺的产生及消除方法,浅显易懂
  3. 所属分类:专业指导

    • 发布日期:2010-05-06
    • 文件大小:404480
    • 提供者:ygel33
  1. FPGA设计中毛刺问题的研究

  2. FPGA设计中毛刺问题的研究,介绍了怎么消除毛刺
  3. 所属分类:硬件开发

    • 发布日期:2010-05-13
    • 文件大小:195584
    • 提供者:xlsman3215732
  1. vhdl消除组合逻辑产生的毛刺

  2. vhdl消除组合逻辑产生的毛刺的实用方法
  3. 所属分类:其它

    • 发布日期:2012-09-24
    • 文件大小:246784
    • 提供者:zhy2214
  1. PLD设计技巧——消除组合逻辑产生的毛刺

  2. 官方资料: PLD设计技巧——消除组合逻辑产生的毛刺.
  3. 所属分类:专业指导

    • 发布日期:2009-01-06
    • 文件大小:321536
    • 提供者:toyouhi
  1. 基于verilog的按键消抖源代码设计

  2. 基于verilog的按键消抖源代码设计,消除了按下、抬起时的抖动以及外界环境导致的低电平期间的毛刺、抖动。
  3. 所属分类:硬件开发

    • 发布日期:2018-08-09
    • 文件大小:1024
    • 提供者:qq_31799983
  1. 组合逻辑中的竞争与冒险及毛刺的处理方法.pdf

  2. 本文详细介绍了竞争冒险产生的原因及消除办法。
  3. 所属分类:其它

    • 发布日期:2019-09-05
    • 文件大小:368640
    • 提供者:weixin_38744375
  1. 消除状态机毛刺策略探讨

  2. 本文主要探讨消除状态机毛刺的方法,感兴趣的朋友可以看看。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:69632
    • 提供者:weixin_38637144
  1. FPGA中消除组合逻辑的毛刺

  2. 组合逻辑在实际应用中,的确存在很多让设计者头疼的隐患,例如这里要说的毛刺。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:61440
    • 提供者:weixin_38710781
  1. 毛刺现象的消除方法小结

  2. 本文从FPGA的原理结构的角度探讨了产生毛刺的原因及产生的条件,在此基础上,总结了多种不同的消除方法,在最后结合具体的应用对解决方案进行深入的分析。
  3. 所属分类:其它

    • 发布日期:2020-08-03
    • 文件大小:53248
    • 提供者:weixin_38651661
  1. FPGA设计中毛刺信号解析

  2. 任何组合电路、反馈电路和计数器都可能是潜在的毛刺信号发生器,但毛刺并不是对所有输入都有危害,如触发器的D输入端,只要毛刺不出现在时钟的上升沿并满足数据的建立保持时间,就不会对系统造成危害。而当毛刺信号成为系统的启动信号、控制信号、握手信号,触发器的清零信号(CLEAR)、预置信号(PRESET)、时钟输入信号(CLK)或锁存器的输入信号时就会产生逻辑错误。在实际设计过程中,应尽量避免将带有毛刺的信号直接接入对毛刺敏感的输入端上,对于产生的毛刺,应仔细分析毛刺的来源和性质,针对不同的信号,采取不同
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:179200
    • 提供者:weixin_38652870
  1. EDA/PLD中的状态机“毛刺”的产生及消除方法

  2. 随着EDA技术的高速发展, 以大规模和超大规模器件FPGA/CPLD为载体、以VHDL(硬件描述语言)为工具的电子系统设计越来越广泛。有限状态机(简称状态机)作为数字系统控制单元的重要设计方案之一,无论与基于VHDL语言的其他设计方案相比,还是与可完成相似功能的CPU设计方案相比,在运行速度的高效、执行时间的确定性和高可靠性方面都显现出强大的优势。因此状态机在数字电子系统设计中的地位日益凸显。   1 状态机“毛刺”的产生   状态机通常包含主控时序进程、主控组合进程和辅助进程三个部分。其中
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:146432
    • 提供者:weixin_38688145
  1. EDA/PLD中的FPGA器件的竞争与冒险现象及消除方法

  2. 摘 要:现场可编程门阵列(FPGA)由于其内部构成,容易引起竞争冒险现象,从而使电路工作的稳定性大受影响,电路也容易产生误动作,以致产生意想不到的后果。本文详细介绍了冒险现象的产生,并结合实例介绍了消除竞争冒险现象的各种方法。这些方法主要通过改变设计,破坏毛刺产生的条件来减少毛刺的发生。他能够使FPGA设计中毛刺的出现几率减到最小,大大减少了逻辑错误,加强了电路工作的稳定性,有效地抑制了干扰,使设计也更加优化、合理。   关键词:FPGA;竞争冒险;毛刺;逻辑错误   在数字电路设计中,经常需要
  3. 所属分类:其它

    • 发布日期:2020-12-07
    • 文件大小:70656
    • 提供者:weixin_38689041
  1. FPGA器件的竞争与冒险现象及消除方法

  2. 摘 要:现场可编程门阵列(FPGA)由于其内部构成,容易引起竞争冒险现象,从而使电路工作的稳定性大受影响,电路也容易产生误动作,以致产生意想不到的后果。本文详细介绍了冒险现象的产生,并结合实例介绍了消除竞争冒险现象的各种方法。这些方法主要通过改变设计,破坏毛刺产生的条件来减少毛刺的发生。他能够使FPGA设计中毛刺的出现几率减到,大大减少了逻辑错误,加强了电路工作的稳定性,有效地抑制了干扰,使设计也更加优化、合理。   关键词:FPGA;竞争冒险;毛刺;逻辑错误   在数字电路设计中,经常需要考虑
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:69632
    • 提供者:weixin_38716519
  1. 状态机“毛刺”的产生及消除方法

  2. 随着EDA技术的高速发展, 以大规模和超大规模器件FPGA/CPLD为载体、以VHDL(硬件描述语言)为工具的电子系统设计越来越广泛。有限状态机(简称状态机)作为数字系统控制单元的重要设计方案之一,无论与基于VHDL语言的其他设计方案相比,还是与可完成相似功能的CPU设计方案相比,在运行速度的高效、执行时间的确定性和高可靠性方面都显现出强大的优势。因此状态机在数字电子系统设计中的地位日益凸显。   1 状态机“毛刺”的产生   状态机通常包含主控时序进程、主控组合进程和辅助进程三个部分。其中
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:183296
    • 提供者:weixin_38658568
« 12 3 4 »