您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. matlab读取和转换wfm波形文件代码

  2. 用matlab读取和转换wfm格式波形文件的代码,适用于安捷伦E443x等系列矢量信号发生器。
  3. 所属分类:其它

    • 发布日期:2009-07-19
    • 文件大小:7168
    • 提供者:magval
  1. 声音波形文件生成器[Wavettes.rar]-精品源代码

  2. 声音波形文件生成器[Wavettes.rar]-精品源代码
  3. 所属分类:其它

    • 发布日期:2009-10-11
    • 文件大小:117760
    • 提供者:gsc11111
  1. Delphi6 程序:将WAV波形文件转换为MP3格式文件

  2. Delphi6 程序:将WAV波形文件转换为MP3格式文件
  3. 所属分类:Delphi

    • 发布日期:2011-03-08
    • 文件大小:412672
    • 提供者:wanguanglin
  1. 声音波形文件生|推荐代码

  2. 声音波形文件生VB经典源码,别的地方都找不到,本人长期提供原创源码,请到我的博客www.cpu7.net编程资料栏获取。
  3. 所属分类:其它

    • 发布日期:2011-03-31
    • 文件大小:118784
    • 提供者:a888812
  1. 声音波形文件生的软件源码

  2. 声音波形文件生自己收藏的源码,特意贡献给大家
  3. 所属分类:VB

    • 发布日期:2011-04-05
    • 文件大小:118784
    • 提供者:guojiajiang
  1. 声音波形文件生的软件源码

  2. 声音波形文件生本人收集了一些技巧供大家参考,希望斑竹能多放一些时间。按字母或数字顺序排列列表框中的列表项. 将以下代码加入到你的程序中.
  3. 所属分类:VB

    • 发布日期:2011-04-06
    • 文件大小:118784
    • 提供者:listanga
  1. 声音波形文件生的软件源码

  2. 声音波形文件生本人精心收集的VB源码,绝对实用,有问题联系Q:353502250
  3. 所属分类:VB

    • 发布日期:2011-04-06
    • 文件大小:118784
    • 提供者:wanglijuang
  1. 波形文件(.wav)读写操作

  2. 对wav文件控制函数的说明(常用mmio函数:   mmioOpen( ) 打开一个RIFF文件   mmioDescend ( ) 进入块   mmioRead( ); 该取RIFF文件   mmioAscend ( ); 跳出块   mmioClose( ); 关闭PIFF文件   对于块来说,进入块和跳出块是配对的。   读取WAV文件的读取过程:   mmioOpen( ) 打开文件   ↓   mmioDescend ("WAVE") 进入"fmt"块   ↓   mmioRead(
  3. 所属分类:C++

    • 发布日期:2011-06-07
    • 文件大小:11264
    • 提供者:yinzhiqing
  1. 用系统api播放波形文件(wav)例子

  2. 用以下函数实现wav文件的播放操作 常用mmio函数:   mmioOpen( ) 打开一个RIFF文件   mmioDescend ( ) 进入块   mmioRead( ); 该取RIFF文件   mmioAscend ( ); 跳出块   mmioClose( ); 关闭PIFF文件   对于块来说,进入块和跳出块是配对的。   读取WAV文件的读取过程:   mmioOpen( ) 打开文件   ↓   mmioDescend ("WAVE") 进入"fmt"块   ↓   mmioR
  3. 所属分类:C++

    • 发布日期:2011-06-07
    • 文件大小:13312
    • 提供者:yinzhiqing
  1. MFC VC6.0波形文件 播放器

  2. 使用VC6.0制作,用于播放波形文件,wav音频文件,通过对话框选取文件进行播放,可暂停
  3. 所属分类:C/C++

    • 发布日期:2011-06-27
    • 文件大小:30720
    • 提供者:bener2008zj
  1. mif文件生成器可生成正弦,三角,锯齿,方波波表

  2. Guagle是一个波形文件产生软件 用于产生FPGA 所设计ROM的初始化波形文件memory initialization file-This is a waveform file generated by the design of the software used to generate FPGA initialization of ROM memory initialization file waveform file
  3. 所属分类:其它

    • 发布日期:2011-08-23
    • 文件大小:216064
    • 提供者:msanchor
  1. Pocket PC 波形文件的录音/播放源代码(C#)

  2. Pocket PC 波形文件的录音/播放源代码
  3. 所属分类:C#

    • 发布日期:2008-10-05
    • 文件大小:397312
    • 提供者:gxingmin
  1. COMTRAD格式波形文件查看软件

  2. 用于查看标准格式的 ComTrad格式的录波数据文件,能够查看数据波形,并进行简单的计算分析。安装时需要将360等安全类软件暂时退出,否则可能无法安装成功。(360安全助手会在不通知的情况下静默删掉个别文件)
  3. 所属分类:制造

    • 发布日期:2014-06-12
    • 文件大小:12582912
    • 提供者:wx_lion
  1. 利用Matlab产生用于AD测试的波形文件

  2. 利用Matlab产生用于AD测试的波形文件。适用于往矢量信号源中下载波形
  3. 所属分类:软件测试

    • 发布日期:2014-10-10
    • 文件大小:202752
    • 提供者:bigear12
  1. matlab形式波形文件下载到安捷伦信号发生器操作流程

  2. 如何将一个波形文件下载到安捷伦信号发生器中,并在信号发生器中调用波形文件的具体操作流程
  3. 所属分类:硬件开发

    • 发布日期:2016-11-08
    • 文件大小:2097152
    • 提供者:gyb1986729
  1. matlab函数用于生成Rigol北京普源信号源的RAF波形文件

  2. 这是一个matlab函数,用于生成Rigol北京普源信号源的RAF任意波形文件,目前支持DG1000、DG4000和DG5000系列信号源。 调用格式: writeRAF(x, fileName, Model, xmax, fs) Input: x -- 信号向量(采样点数 8 <= N <= 1e6); fileName -- 输出数据文件名,不需要扩展名,软件强制扩展名为.ARB 如果文件名已经存在,则追加写入,以生成大文件 Model -- 指定信号源的型号,=1表示DG10
  3. 所属分类:其它

    • 发布日期:2018-08-12
    • 文件大小:3072
    • 提供者:zhangqf68
  1. java读取wav文件(波形文件)并绘制波形图的方法

  2. 主要介绍了java读取wav文件(波形文件)并绘制波形图的方法,涉及java操作多媒体音频文件转换的相关技巧,需要的朋友可以参考下
  3. 所属分类:其它

    • 发布日期:2020-09-03
    • 文件大小:89088
    • 提供者:weixin_38744778
  1. C#异步加载并播放声音波形文件

  2. 摘要:C#源码,多媒体技术,波形文件  C#异步加载并播放声音波形文件,发现不能播放MP3啊,可能代码还有些问题,高手们帮忙修正一下。C#播放波形音乐文件的小例子。
  3. 所属分类:其它

    • 发布日期:2021-03-16
    • 文件大小:14336
    • 提供者:weixin_38738189
  1. 语音合成项目:使用由AR compware制作的教程,由Alex I. Ramirez alexram1313(arcompware.com)编写。一种语音合成模型,用于收集波形文件,对其进行连接(取决于用户输入)并进行回放。-源码

  2. 语音合成项目 使用由AR compware制作的教程,由Alex I. Ramirez alexram1313(arcompware.com)编写。一种语音合成模型,用于收集wave文件,对其进行连接(取决于用户输入)并进行回放。 主要代码: 读卡内基梅隆大学的发音词典 加载波形样本 比较用户输入的字典中的单词 根据输入输出音频 **在“ _play_audio”函数中,我编辑了要添加到流中的数据。 **我希望所有音频样本之间都存在延迟,因为音频似乎输出得太快。
  3. 所属分类:其它

    • 发布日期:2021-02-12
    • 文件大小:901120
    • 提供者:weixin_42109545
  1. 一种任意波形发生器的波形文件产生方法

  2. 针对任意波形发生器中的波形文件产生,给出一种参数优化设计算法。对于任意数字调制信号参数组合,在满足任意波形发生器的存储容量及采样速率条件下,寻求最优波形实际采样总时间以及采样率。设计结果表明,采用本文方法产生的实际信号参数与期望信号参数偏差较小,可满足实际设计需要。
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:986112
    • 提供者:weixin_38501610
« 12 3 4 5 6 7 8 9 10 ... 50 »